STM32 fft加窗的问题(汉宁窗)

  在FFT处理线面呢,很多人就说要加窗,加窗的好处了就是防止能量泄露和高频滤波啊,不过精度呢就会相应的降低。(听说是这样的。本人小白)

  窗的种类也很多啦,然后听说啥都不懂的就可以了选择汉宁窗。。。

  在MATLAB里面呢直接调用hann();

  然后呢在stm32里面呢就直接一个for。。。。。。感觉用了后腰也不疼了,上楼也有力了,波形貌似变好了。。。。

  

float  Han_Win(u16 i ,u16 ADC)
{
    float Wn;
    Wn = 0.5-0.5*cos((2 * Pi*(i - 1))/(N - 1)); //w = .5*(1 - cos(2*pi*(1:m)'/(n+1))); 
    Wn = Wn * (float)ADC;    
    return Wn;
}
    for(i = 0; i < N ; i++)
    {
        lBUFIN1[i] = Han_Win(i ,(s16)ADCConvertedValue[i]);
        lBUFIN2[i] = Han_Win(i ,(s16)(ADCConvertedValue[i]>>16));    
    }

 

转载于:https://www.cnblogs.com/VerilogHDL/p/5959548.html

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值