八位三态数据通路控制器的逻辑电路设计讲解

1、如图这是电路逻辑结构图及其时序逻辑图:

 

 

 

2、verilog程序:

`define ON 1'b1

`define OFF 1'b0

wire LinkBusSwitch;

wire[7:0] outbuf;

wire[7:0] inbuf;

inout[7:0] bus;

assign bus=(LinkBusSwitch==`ON) ? outbuf : 8'bzz;

always@(posedge clk)

  begin

    //此处略去些许代码。。。。

    if(!LinkBusSwitch)

      inbuf <= bus;

  end

  //此处略去些许代码。。。。

endmodule

 

3、高阻是什么?

  在这里当LinkBusSwitch是0 时,我们的outbuf 是高阻,也就是和bus断开了,高阻嘛?就是阻值很高,别的电流就过不来了。

  当outbuf是高阻值得时候,其就与bus断开了,那么我们的bus就可以被其他器件线路利用了。

****************************************************************************

 

made by qidaiYMM, your comment is appreciated.

email:151988261@qq.com

 

转载于:https://www.cnblogs.com/qidaiymm/p/4889102.html

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值