如何双击打开vivado工程_【Vivado那些事儿】如何查找官网例程及如何使用官网例程...

本文介绍了如何在Vivado中查找和使用官方例程。首先,通过Help->Documentation and Tutorials打开Xilinx Documentation浏览器,按需选择分类查找所需文档。其次,提供了两种下载例程的方式:通过文档直接搜索或在IP Manager中找到IP。下载的参考设计通常包含TCL脚本,需阅读readme文件并运行脚本来构建工程。最后,文章强调了正确匹配Vivado版本的重要性。
摘要由CSDN通过智能技术生成

3987e505e2a1c404fdcb7212227c80da.png

有的时候需要查找一些官网的例程进行学习和参考,但是总感觉无从下手,今天就教大家怎么利用官网和Vivado的Documention进行相关的操作。

不清楚使用哪些IP或者不清楚需要参考哪个文档

首先点击Help-->Documention and Tutorials就可以打开XIlinx Documention浏览器了。

57100faee399bbc24bfb301eabcdf722.png

打开后如下:

9db592c83cf51c0e0bed77a010ace50e.png

主要分为:1、分类窗口;2、文件选择窗口;3、设置窗口;4、选择窗口;

可以在3窗口进行搜索。

假如现在我需要查找一下7系列FPGA中关于HLS的使用,那么我可以通过1窗口进行分类选择,如下:

79d735ad171a0fa655a2082fadfa80ac.png

ee20450af0652664c03e9e4fdf847512.png

1ae226da7c05e467e089cc1550c29d15.png

就可以在2窗口得到我想要的文档:

8bb4438b4c586fdff8e3f0a988dd7710.png

点击进去就可以阅读,如果想要下载也可以,这里可以进行两种设置,一是下载路径设置二是打开文档的阅读器设置,具体位置如下图所示:

12bf883b2ae8566612f7719826f61a3e.png

47a033aff12ec267001c76df574b0ee6.png

可以按照自己的需求进行设置。

找到了或者已知使用哪种或者哪个IP怎么下载例程

这里提供两种方式:

1、使用Xilinx Doc直接搜索相关的Doc,这里举例AXI ethernet,相关的应用手册是xapp1082(还有其他的参考,这里以比较常见的举例),直接搜索这个文档,打开后如下图所示:

a804b4fc718d628cf869c7828380f413.png

这两个位置可以下载相关参考例程,直接点击下载即可,建议该文档直接使用Chrome打开,点击链接会知道跳转,其他PDF阅读器也可。

2、在IP管理器里找到该IP

bc0eda034f683846d4fdd972e03cc95f.png

双击进入

d258efd0b7dc7539b9bb0b7bc6d18fc0.png

其中左上角的Documentation,有Product Guide等文档,其中Change Log(修改BUG记录)、Product Webpage和Answer Records都是比较重要的文档,对使用好该IP都有很重要的作用,因为与本篇文章不想关,这里就不展开描述了,点击Product Guide就能通过Xilinx Documentation打开这个文档,这个文档相当相当重要,包括整个IP的使用说明,每个参数的说明,时序相关,以及一些Debug,仿真相关说明,如果在使用该IP时出现问题,请仔细阅读该文档,同时该文档会提供参考示例链接(这个IP比较特殊,是两个IP结合在一起的,所以没有refence(疯狂翻车))。

怎么使用下载下来的参考设计

目前官网下载下来的参考例程异常“简单",主要通过TCL脚本提供,对于不熟悉使用该脚本的人可能会比较懵,但是不需要过于担心,只需要按照下面的步骤就可以轻松利用该脚本构建Vivado工程。

下载下来的参考文件一定要先阅读readme文件,里面包含了整个例程的作用和文件构成,以XAPP1082为例如下:

dbd31dfdccdfe5d886e4188cd3136184.png

进入到xapp1082xapp1082_2017_4hardwarevivadoscripts文件夹下,可以看到四个例程:

3a2d2ba86f84944f8aa342d9930f5e98.png

作用就不展开描述了,随便进入一个文件夹下:

98f509484977f4e309caed78c34034ef.png

里面只用三个文件,总大小不足100k(要是一个完整的工程,至少要100M左右,所以TCL的作用不言而喻),进如这个文件夹主要想要确认下导出该脚本的Vivado版本(不确认的话,后期运行会报错),外层文件夹已经有相关的版本说明了(xapp1082_2017_4)打开pl_eth_sgmii_bd.tcl(记事本及相关文本阅读器都可以):

8f6ae8ca1882f90940ac6f0a642eedc9.png

如果你的Vivado版本和这个一样,那么不需要修改,如果不一样请修改和你的版本一样,我使用的是Vivado2018.3,修改如下:

404e1898c9b27453f462de2b43606044.png

接下来打开Linux terminal or Vivado tcl shell in windows

4c00b641b920bf061a023ef6f0c382b9.png

通过CD命令进入历程所在的文件夹:

3591e46450238e15b3c1e586d5acde14.png

注意这里是“/”不是WIN系统常用的“”,之后执行:

vivado -source pl_eth_sgmii.tcl

6d5b3ff59bcaac50550a5337ca6ddfa4.png

就可以打开Vivado并自动构建Demo了:

f3218f9571d2d0600223db0ab02c5773.png

这里说明一下,我使用的Vivado版本和例程版本不相同所以构建完成后会有一些小Bug,按照提示修改即可。

构建的工程保存在如下路径:

f7036f594996e26d6c2fef8a6fbbf18b.png

至此这篇文章相关内容基本完成,如果觉得对你有帮助,请动动你的小手点个赞。

b7db1dba507599ed2bd8cba14a4178c7.png
  • 1
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值