计算机组成原理实验报告alu,计算机组成原理实验报告

【实例简介】

西安电子科技大学计算机组成原理实验报告,可供期末课程设计考研学习使用。西安电子科技大学计算机组成原理实验报告,可供期末课程设计考研学习使用。西安电子科技大学计算机组成原理实验报告,可供期末课程设计考研学习使用。西安电子科技大学计算机组成原理实验报告,可供期末课程设计考研学习使用。

【实例截图】

【核心代码】

计算机组成原理试验讲义(2013-6-24)

└── 计算机组成原理试验讲义(2013-6-24)

├── ALU验证.doc

├── TEST 4

│   ├── Block1.asm.rpt

│   ├── Block1_assignment_defaults.qdf

│   ├── Block1.bdf

│   ├── Block1.done

│   ├── Block1.dpf

│   ├── Block1.fit.rpt

│   ├── Block1.fit.smsg

│   ├── Block1.fit.summary

│   ├── Block1.flow.rpt

│   ├── Block1.map.rpt

│   ├── Block1.map.summary

│   ├── Block1.pin

│   ├── Block1.pof

│   ├── Block1.qpf

│   ├── Block1.qsf

│   ├── Block1.qws

│   ├── Block1.sim.rpt

│   ├── Block1.sof

│   ├── Block1.tan.rpt

│   ├── Block1.tan.summary

│   ├── Block1.vwf

│   ├── db

│   │   ├── Block1.db_info

│   │   ├── Block1.sim.vwf

│   │   ├── Block1.sld_design_entry.sci

│   │   ├── cntr_7qi.tdf

│   │   ├── mux_afc.tdf

│   │   └── wed.zsf

│   ├── lpm_counter0.bsf

│   ├── lpm_counter0.cmp

│   ├── lpm_counter0.inc

│   ├── lpm_counter0_inst.tdf

│   ├── lpm_counter0.tdf

│   ├── lpm_counter1.bsf

│   ├── lpm_counter1.cmp

│   ├── lpm_counter1.inc

│   ├── lpm_counter1_inst.tdf

│   └── lpm_counter1.tdf

├── 康芯组成原理实验讲义(修订版).doc

├── 相关截图

│   ├── 01_MIF文件.png

│   ├── 01_ROM下载图.png

│   ├── 01_ROM引脚分配图.png

│   ├── 01_ROM波形图.png

│   ├── 01_ROM电路图.png

│   ├── 02_ALU原理图.JPG

│   ├── 02_ALU管脚分配图.JPG

│   ├── 02_数据结果表.png

│   ├── 03_单步节拍发生电路波形图.png

│   ├── 03_单步节拍发生电路电路图.png

│   ├── 03_单步、连续节拍发生电路波形图.png

│   ├── 03_单步、连续节拍发生电路电路图.png

│   ├── 03_连续节拍发生电路波形图.png

│   ├── 03_连续节拍发生电路电路图.png

│   ├── 04_引脚分配图.png

│   ├── 04_波形仿真.png

│   ├── 04_电路图.png

│   ├── 04_程序下载.png

│   ├── 05_bus下载图.png

│   ├── 05_bus原理图.png

│   ├── 05_bus引脚分配图.png

│   └── 05_单总线数据传输示意图.png

├── 相关程序

│   ├── 555

│   │   ├── 273.ACF

│   │   ├── 273.GDF

│   │   ├── 273.HIF

│   │   ├── 555.bdf

│   │   ├── 555.qpf

│   │   ├── 555.qsf

│   │   ├── 555.qws

│   │   ├── db

│   │   │   ├── 555.db_info

│   │   │   ├── 555.eco.cdb

│   │   │   └── 555.sld_design_entry.sci

│   │   ├── lpm_mux1.bsf

│   │   ├── lpm_mux1.cmp

│   │   ├── lpm_mux1.vhd

│   │   ├── lpm_ram_dp0.bsf

│   │   ├── lpm_ram_dp0.cmp

│   │   ├── lpm_ram_dp0.vhd

│   │   └── lpm_ram_dq0.bsf

│   ├── ALU验证 2.doc

│   ├── bus

│   │   ├── Block1.asm.rpt

│   │   ├── Block1.bdf

│   │   ├── Block1.cdf

│   │   ├── Block1.done

│   │   ├── Block1.dpf

│   │   ├── Block1.fit.rpt

│   │   ├── Block1.fit.smsg

│   │   ├── Block1.fit.summary

│   │   ├── Block1.flow.rpt

│   │   ├── Block1.map.rpt

│   │   ├── Block1.map.summary

│   │   ├── Block1.pin

│   │   ├── Block1.pof

│   │   ├── Block1.qpf

│   │   ├── Block1.qsf

│   │   ├── Block1.qws

│   │   ├── Block1.sim.rpt

│   │   ├── Block1.sof

│   │   ├── Block1.tan.rpt

│   │   ├── Block1.tan.summary

│   │   ├── Block1.vwf

│   │   ├── db

│   │   │   ├── altsyncram_n7a1.tdf

│   │   │   ├── Block1.(1).cnf.cdb

│   │   │   ├── Block1.(1).cnf.hdb

│   │   │   ├── Block1.(2).cnf.cdb

│   │   │   ├── Block1.(2).cnf.hdb

│   │   │   ├── Block1.(3).cnf.cdb

│   │   │   ├── Block1.(3).cnf.hdb

│   │   │   ├── Block1.(4).cnf.cdb

│   │   │   ├── Block1.(4).cnf.hdb

│   │   │   ├── Block1.(5).cnf.cdb

│   │   │   ├── Block1.(5).cnf.hdb

│   │   │   ├── Block1.(6).cnf.cdb

│   │   │   ├── Block1.(6).cnf.hdb

│   │   │   ├── Block1.(7).cnf.cdb

│   │   │   ├── Block1.(7).cnf.hdb

│   │   │   ├── Block1.(8).cnf.cdb

│   │   │   ├── Block1.(8).cnf.hdb

│   │   │   ├── Block1.asm.qmsg

│   │   │   ├── Block1.cbx.xml

│   │   │   ├── Block1.cmp0.ddb

│   │   │   ├── Block1.cmp.cdb

│   │   │   ├── Block1.cmp.hdb

│   │   │   ├── Block1.cmp.kpt

│   │   │   ├── Block1.cmp.logdb

│   │   │   ├── Block1.cmp.rdb

│   │   │   ├── Block1.cmp.tdb

│   │   │   ├── Block1.db_info

│   │   │   ├── Block1.dbp

│   │   │   ├── Block1.eco.cdb

│   │   │   ├── Block1.fit.qmsg

│   │   │   ├── Block1.hier_info

│   │   │   ├── Block1.hif

│   │   │   ├── Block1.map.cdb

│   │   │   ├── Block1.map.hdb

│   │   │   ├── Block1.map.logdb

│   │   │   ├── Block1.map.qmsg

│   │   │   ├── Block1.pre_map.cdb

│   │   │   ├── Block1.pre_map.hdb

│   │   │   ├── Block1.psp

│   │   │   ├── Block1.rtlv.hdb

│   │   │   ├── Block1.rtlv_sg.cdb

│   │   │   ├── Block1.rtlv_sg_swap.cdb

│   │   │   ├── Block1.sgdiff.cdb

│   │   │   ├── Block1.sgdiff.hdb

│   │   │   ├── Block1.signalprobe.cdb

│   │   │   ├── Block1.sim.hdb

│   │   │   ├── Block1.sim.qmsg

│   │   │   ├── Block1.sim.rdb

│   │   │   ├── Block1.sim.vwf

│   │   │   ├── Block1.sld_design_entry_dsc.sci

│   │   │   ├── Block1.sld_design_entry.sci

│   │   │   ├── Block1.syn_hier_info

│   │   │   ├── Block1.tan.qmsg

│   │   │   ├── mux_ord.tdf

│   │   │   └── wed.zsf

│   │   ├── e.bsf

│   │   ├── e.cmp

│   │   ├── e.inc

│   │   ├── e_inst.vhd

│   │   ├── e.vhd

│   │   ├── lpm_mux0.bsf

│   │   ├── lpm_mux0.cmp

│   │   ├── lpm_mux0.vhd

│   │   ├── lpm_ram_dq0.bsf

│   │   ├── lpm_ram_dq0.cmp

│   │   ├── lpm_ram_dq0.vhd

│   │   ├── lpm_ram_dq1.bsf

│   │   ├── lpm_ram_dq1.cmp

│   │   ├── lpm_ram_dq1.vhd

│   │   ├── lpm_ram_dq2.bsf

│   │   ├── lpm_ram_dq2.cmp

│   │   ├── lpm_ram_dq2.vhd

│   │   ├── qqqq.bdf

│   │   ├── rrr.bdf

│   │   └── Waveform1.vwf

│   ├── EXP_1 ROM

│   │   └── 03086

│   │   ├── 03086.asm.rpt

│   │   ├── 03086.bdf

│   │   ├── 03086.cdf

│   │   ├── 03086.done

│   │   ├── 03086.dpf

│   │   ├── 03086.fit.rpt

│   │   ├── 03086.fit.smsg

│   │   ├── 03086.fit.summary

│   │   ├── 03086.flow.rpt

│   │   ├── 03086.map.rpt

│   │   ├── 03086.map.summary

│   │   ├── 03086.mif

│   │   ├── 03086.pin

│   │   ├── 03086.pof

│   │   ├── 03086.qpf

│   │   ├── 03086.qsf

│   │   ├── 03086.qws

│   │   ├── 03086.sim.rpt

│   │   ├── 03086.sof

│   │   ├── 03086.tan.rpt

│   │   ├── 03086.tan.summary

│   │   ├── 03086.vwf

│   │   ├── db

│   │   │   ├── 03086.(0).cnf.cdb

│   │   │   ├── 03086.(0).cnf.hdb

│   │   │   ├── 03086.(1).cnf.cdb

│   │   │   ├── 03086.(1).cnf.hdb

│   │   │   ├── 03086.(2).cnf.cdb

│   │   │   ├── 03086.(2).cnf.hdb

│   │   │   ├── 03086.(3).cnf.cdb

│   │   │   ├── 03086.(3).cnf.hdb

│   │   │   ├── 03086.asm.qmsg

│   │   │   ├── 03086.cbx.xml

│   │   │   ├── 03086.cmp0.ddb

│   │   │   ├── 03086.cmp.cdb

│   │   │   ├── 03086.cmp.hdb

│   │   │   ├── 03086.cmp.kpt

│   │   │   ├── 03086.cmp.logdb

│   │   │   ├── 03086.cmp.rdb

│   │   │   ├── 03086.cmp.tdb

│   │   │   ├── 03086.db_info

│   │   │   ├── 03086.dbp

│   │   │   ├── 03086.eco.cdb

│   │   │   ├── 03086.eds_overflow

│   │   │   ├── 03086.fit.qmsg

│   │   │   ├── 03086.hier_info

│   │   │   ├── 03086.hif

│   │   │   ├── 03086.map.cdb

│   │   │   ├── 03086.map.hdb

│   │   │   ├── 03086.map.logdb

│   │   │   ├── 03086.map.qmsg

│   │   │   ├── 03086.pre_map.cdb

│   │   │   ├── 03086.pre_map.hdb

│   │   │   ├── 03086.psp

│   │   │   ├── 03086.rtlv.hdb

│   │   │   ├── 03086.rtlv_sg.cdb

│   │   │   ├── 03086.rtlv_sg_swap.cdb

│   │   │   ├── 03086.sgdiff.cdb

│   │   │   ├── 03086.sgdiff.hdb

│   │   │   ├── 03086.signalprobe.cdb

│   │   │   ├── 03086.sim.hdb

│   │   │   ├── 03086.sim.qmsg

│   │   │   ├── 03086.sim.rdb

│   │   │   ├── 03086.sim.vwf

│   │   │   ├── 03086.sld_design_entry_dsc.sci

│   │   │   ├── 03086.sld_design_entry.sci

│   │   │   ├── 03086.syn_hier_info

│   │   │   ├── 03086.tan.qmsg

│   │   │   ├── altsyncram_kb31.tdf

│   │   │   └── wed.zsf

│   │   ├── lpm_rom0.bsf

│   │   ├── lpm_rom0.cmp

│   │   └── lpm_rom0.vhd

│   ├── EXP_2 ALU

│   │   ├── ALU0860.bdf

│   │   ├── ALU086.asm.rpt

│   │   ├── ALU086_assignment_defaults.qdf

│   │   ├── ALU086.bdf

│   │   ├── ALU086.done

│   │   ├── ALU086.dpf

│   │   ├── ALU086.fit.rpt

│   │   ├── ALU086.fit.smsg

│   │   ├── ALU086.fit.summary

│   │   ├── ALU086.flow.rpt

│   │   ├── ALU086.map.rpt

│   │   ├── ALU086.map.summary

│   │   ├── ALU086.pin

│   │   ├── ALU086.pof

│   │   ├── ALU086.qpf

│   │   ├── ALU086.qsf

│   │   ├── ALU086.qws

│   │   ├── ALU086.sof

│   │   ├── ALU086.tan.rpt

│   │   ├── ALU086.tan.summary

│   │   ├── ALU181.VHD

│   │   ├── db

│   │   │   ├── ALU086.db_info

│   │   │   ├── ALU086.sld_design_entry.sci

│   │   │   └── cntr_ioc.tdf

│   │   └── Text1.txt

│   ├── EXP_3

│   │   ├── 001_DBYX.bdf

│   │   ├── 003.asm.rpt

│   │   ├── 003.bdf

│   │   ├── 003.done

│   │   ├── 003.fit.rpt

│   │   ├── 003.fit.smsg

│   │   ├── 003.fit.summary

│   │   ├── 003.flow.rpt

│   │   ├── 003.map.rpt

│   │   ├── 003.map.summary

│   │   ├── 003.pin

│   │   ├── 003.pof

│   │   ├── 003.qpf

│   │   ├── 003.qsf

│   │   ├── 003.qws

│   │   ├── 003.sim.rpt

│   │   ├── 003.sof

│   │   ├── 003.tan.rpt

│   │   ├── 003.tan.summary

│   │   ├── 003.vwf

│   │   ├── cmp_state.ini

│   │   └── db

│   │   ├── 003.db_info

│   │   ├── 003.sld_design_entry.sci

│   │   └── wed.zsf

│   ├── exp_3_2 dbyx

│   │   ├── 001_DBYX.asm.rpt

│   │   ├── 001_DBYX.bdf

│   │   ├── 001_DBYX.done

│   │   ├── 001_DBYX.fit.rpt

│   │   ├── 001_DBYX.fit.smsg

│   │   ├── 001_DBYX.fit.summary

│   │   ├── 001_DBYX.flow.rpt

│   │   ├── 001_DBYX.map.rpt

│   │   ├── 001_DBYX.map.summary

│   │   ├── 001_DBYX.pin

│   │   ├── 001_DBYX.pof

│   │   ├── 001_DBYX.qpf

│   │   ├── 001_DBYX.qsf

│   │   ├── 001_DBYX.qws

│   │   ├── 001_DBYX.sim.rpt

│   │   ├── 001_DBYX.sof

│   │   ├── 001_DBYX.tan.rpt

│   │   ├── 001_DBYX.tan.summary

│   │   ├── 001_DBYX.vwf

│   │   └── db

│   │   ├── 001_DBYX.(0).cnf.cdb

│   │   ├── 001_DBYX.(0).cnf.hdb

│   │   ├── 001_DBYX.asm_labs.ddb

│   │   ├── 001_DBYX.asm.qmsg

│   │   ├── 001_DBYX.cbx.xml

│   │   ├── 001_DBYX.cmp0.ddb

│   │   ├── 001_DBYX.cmp.cdb

│   │   ├── 001_DBYX.cmp.hdb

│   │   ├── 001_DBYX.cmp.kpt

│   │   ├── 001_DBYX.cmp.logdb

│   │   ├── 001_DBYX.cmp.rdb

│   │   ├── 001_DBYX.cmp.tdb

│   │   ├── 001_DBYX.db_info

│   │   ├── 001_DBYX.dbp

│   │   ├── 001_DBYX.eco.cdb

│   │   ├── 001_DBYX.eds_overflow

│   │   ├── 001_DBYX.fit.qmsg

│   │   ├── 001_DBYX.hier_info

│   │   ├── 001_DBYX.hif

│   │   ├── 001_DBYX.map.cdb

│   │   ├── 001_DBYX.map.hdb

│   │   ├── 001_DBYX.map.logdb

│   │   ├── 001_DBYX.map.qmsg

│   │   ├── 001_DBYX.pre_map.cdb

│   │   ├── 001_DBYX.pre_map.hdb

│   │   ├── 001_DBYX.psp

│   │   ├── 001_DBYX.rtlv.hdb

│   │   ├── 001_DBYX.rtlv_sg.cdb

│   │   ├── 001_DBYX.rtlv_sg_swap.cdb

│   │   ├── 001_DBYX.sgdiff.cdb

│   │   ├── 001_DBYX.sgdiff.hdb

│   │   ├── 001_DBYX.signalprobe.cdb

│   │   ├── 001_DBYX.sim.hdb

│   │   ├── 001_DBYX.sim.qmsg

│   │   ├── 001_DBYX.sim.rdb

│   │   ├── 001_DBYX.sim.vwf

│   │   ├── 001_DBYX.sld_design_entry_dsc.sci

│   │   ├── 001_DBYX.sld_design_entry.sci

│   │   ├── 001_DBYX.syn_hier_info

│   │   ├── 001_DBYX.tan.qmsg

│   │   └── wed.zsf

│   ├── EXP_3_3zh

│   │   ├── 003_zh.asm.rpt

│   │   ├── 003_zh.bdf

│   │   ├── 003_zh.done

│   │   ├── 003_zh.fit.rpt

│   │   ├── 003_zh.fit.smsg

│   │   ├── 003_zh.fit.summary

│   │   ├── 003_zh.flow.rpt

│   │   ├── 003_zh.map.rpt

│   │   ├── 003_zh.map.summary

│   │   ├── 003_zh.pin

│   │   ├── 003_zh.pof

│   │   ├── 003_zh.qpf

│   │   ├── 003_zh.qsf

│   │   ├── 003_zh.qws

│   │   ├── 003_zh.sim.rpt

│   │   ├── 003_zh.sof

│   │   ├── 003_zh.tan.rpt

│   │   ├── 003_zh.tan.summary

│   │   ├── 003_zh.vwf

│   │   └── db

│   │   ├── 003_zh.(0).cnf.cdb

│   │   ├── 003_zh.(0).cnf.hdb

│   │   ├── 003_zh.(1).cnf.cdb

│   │   ├── 003_zh.(1).cnf.hdb

│   │   ├── 003_zh.asm_labs.ddb

│   │   ├── 003_zh.asm.qmsg

│   │   ├── 003_zh.cbx.xml

│   │   ├── 003_zh.cmp0.ddb

│   │   ├── 003_zh.cmp.cdb

│   │   ├── 003_zh.cmp.hdb

│   │   ├── 003_zh.cmp.kpt

│   │   ├── 003_zh.cmp.logdb

│   │   ├── 003_zh.cmp.rdb

│   │   ├── 003_zh.cmp.tdb

│   │   ├── 003_zh.db_info

│   │   ├── 003_zh.dbp

│   │   ├── 003_zh.eco.cdb

│   │   ├── 003_zh.eds_overflow

│   │   ├── 003_zh.fit.qmsg

│   │   ├── 003_zh.hier_info

│   │   ├── 003_zh.hif

│   │   ├── 003_zh.map.cdb

│   │   ├── 003_zh.map.hdb

│   │   ├── 003_zh.map.logdb

│   │   ├── 003_zh.map.qmsg

│   │   ├── 003_zh.pre_map.cdb

│   │   ├── 003_zh.pre_map.hdb

│   │   ├── 003_zh.psp

│   │   ├── 003_zh.rtlv.hdb

│   │   ├── 003_zh.rtlv_sg.cdb

│   │   ├── 003_zh.rtlv_sg_swap.cdb

│   │   ├── 003_zh.sgdiff.cdb

│   │   ├── 003_zh.sgdiff.hdb

│   │   ├── 003_zh.signalprobe.cdb

│   │   ├── 003_zh.sim.hdb

│   │   ├── 003_zh.sim.qmsg

│   │   ├── 003_zh.sim.rdb

│   │   ├── 003_zh.sim.vwf

│   │   ├── 003_zh.sld_design_entry_dsc.sci

│   │   ├── 003_zh.sld_design_entry.sci

│   │   ├── 003_zh.syn_hier_info

│   │   ├── 003_zh.tan.qmsg

│   │   └── wed.zsf

│   ├── EXP_4 PC_counter01

│   │   ├── 273.ACF

│   │   ├── 273.GDF

│   │   ├── 273.HIF

│   │   ├── db

│   │   │   ├── cntr_p1j.tdf

│   │   │   ├── mux_smc.tdf

│   │   │   ├── pc086.(0).cnf.cdb

│   │   │   ├── pc086.(0).cnf.hdb

│   │   │   ├── pc086.(1).cnf.cdb

│   │   │   ├── pc086.(1).cnf.hdb

│   │   │   ├── pc086.(2).cnf.cdb

│   │   │   ├── pc086.(2).cnf.hdb

│   │   │   ├── pc086.(3).cnf.cdb

│   │   │   ├── pc086.(3).cnf.hdb

│   │   │   ├── pc086.(4).cnf.cdb

│   │   │   ├── pc086.(4).cnf.hdb

│   │   │   ├── pc086.(5).cnf.cdb

│   │   │   ├── pc086.(5).cnf.hdb

│   │   │   ├── pc086.(6).cnf.cdb

│   │   │   ├── pc086.(6).cnf.hdb

│   │   │   ├── pc086.(7).cnf.cdb

│   │   │   ├── pc086.(7).cnf.hdb

│   │   │   ├── pc086.(8).cnf.cdb

│   │   │   ├── pc086.(8).cnf.hdb

│   │   │   ├── pc086.asm_labs.ddb

│   │   │   ├── pc086.asm.qmsg

│   │   │   ├── pc086.cbx.xml

│   │   │   ├── pc086.cmp0.ddb

│   │   │   ├── pc086.cmp.cdb

│   │   │   ├── pc086.cmp.hdb

│   │   │   ├── pc086.cmp.kpt

│   │   │   ├── pc086.cmp.logdb

│   │   │   ├── pc086.cmp.rdb

│   │   │   ├── pc086.cmp.tdb

│   │   │   ├── pc086.db_info

│   │   │   ├── pc086.dbp

│   │   │   ├── pc086.eco.cdb

│   │   │   ├── pc086.fit.qmsg

│   │   │   ├── pc086.hier_info

│   │   │   ├── pc086.hif

│   │   │   ├── pc086.map.cdb

│   │   │   ├── pc086.map.hdb

│   │   │   ├── pc086.map.logdb

│   │   │   ├── pc086.map.qmsg

│   │   │   ├── pc086.pre_map.cdb

│   │   │   ├── pc086.pre_map.hdb

│   │   │   ├── pc086.psp

│   │   │   ├── pc086.rtlv.hdb

│   │   │   ├── pc086.rtlv_sg.cdb

│   │   │   ├── pc086.rtlv_sg_swap.cdb

│   │   │   ├── pc086.sgdiff.cdb

│   │   │   ├── pc086.sgdiff.hdb

│   │   │   ├── pc086.signalprobe.cdb

│   │   │   ├── pc086.sld_design_entry_dsc.sci

│   │   │   ├── pc086.sld_design_entry.sci

│   │   │   ├── pc086.syn_hier_info

│   │   │   └── pc086.tan.qmsg

│   │   ├── lpm_counter0.bsf

│   │   ├── lpm_counter0.cmp

│   │   ├── lpm_counter0.vhd

│   │   ├── pc086.asm.rpt

│   │   ├── pc086.bdf

│   │   ├── pc086.done

│   │   ├── pc086.fit.rpt

│   │   ├── pc086.fit.smsg

│   │   ├── pc086.fit.summary

│   │   ├── pc086.flow.rpt

│   │   ├── pc086.map.rpt

│   │   ├── pc086.map.summary

│   │   ├── pc086.pin

│   │   ├── pc086.pof

│   │   ├── pc086.qpf

│   │   ├── pc086.qsf

│   │   ├── pc086.qws

│   │   ├── pc086.sof

│   │   ├── pc086.tan.rpt

│   │   └── pc086.tan.summary

│   └── EXP_5 BusControl

│   ├── 273.ACF

│   ├── 273.GDF

│   ├── 273.HIF

│   ├── buscontrol.asm.rpt

│   ├── buscontrol.bdf

│   ├── buscontrol.done

│   ├── buscontrol.dpf

│   ├── buscontrol.fit.rpt

│   ├── buscontrol.fit.smsg

│   ├── buscontrol.fit.summary

│   ├── buscontrol.flow.rpt

│   ├── buscontrol.map.rpt

│   ├── buscontrol.map.summary

│   ├── buscontrol.pin

│   ├── buscontrol.pof

│   ├── buscontrol.qpf

│   ├── buscontrol.qsf

│   ├── buscontrol.qws

│   ├── buscontrol.sof

│   ├── buscontrol.tan.rpt

│   ├── buscontrol.tan.summary

│   ├── db

│   │   ├── altsyncram_9t91.tdf

│   │   ├── altsyncram_r4a1.tdf

│   │   ├── buscontrol.(0).cnf.cdb

│   │   ├── buscontrol.(0).cnf.hdb

│   │   ├── buscontrol.(1).cnf.cdb

│   │   ├── buscontrol.(1).cnf.hdb

│   │   ├── buscontrol.(2).cnf.cdb

│   │   ├── buscontrol.(2).cnf.hdb

│   │   ├── buscontrol.(3).cnf.cdb

│   │   ├── buscontrol.(3).cnf.hdb

│   │   ├── buscontrol.(4).cnf.cdb

│   │   ├── buscontrol.(4).cnf.hdb

│   │   ├── buscontrol.(5).cnf.cdb

│   │   ├── buscontrol.(5).cnf.hdb

│   │   ├── buscontrol.(6).cnf.cdb

│   │   ├── buscontrol.(6).cnf.hdb

│   │   ├── buscontrol.asm.qmsg

│   │   ├── buscontrol.cbx.xml

│   │   ├── buscontrol.cmp.kpt

│   │   ├── buscontrol.cmp.rdb

│   │   ├── buscontrol.db_info

│   │   ├── buscontrol.dbp

│   │   ├── buscontrol.eco.cdb

│   │   ├── buscontrol.fit.qmsg

│   │   ├── buscontrol.hier_info

│   │   ├── buscontrol.hif

│   │   ├── buscontrol.map.hdb

│   │   ├── buscontrol.map.qmsg

│   │   ├── buscontrol.pre_map.hdb

│   │   ├── buscontrol.psp

│   │   ├── buscontrol.rtlv.hdb

│   │   ├── buscontrol.rtlv_sg.cdb

│   │   ├── buscontrol.rtlv_sg_swap.cdb

│   │   ├── buscontrol.sgdiff.cdb

│   │   ├── buscontrol.sgdiff.hdb

│   │   ├── buscontrol.sld_design_entry_dsc.sci

│   │   ├── buscontrol.sld_design_entry.sci

│   │   ├── buscontrol.syn_hier_info

│   │   ├── buscontrol.tan.qmsg

│   │   ├── mux_a3e.tdf

│   │   └── mux_ord.tdf

│   ├── lpm_mux1.bsf

│   ├── lpm_mux1.cmp

│   ├── lpm_mux1.vhd

│   ├── lpm_ram_dp0.bsf

│   ├── lpm_ram_dp0.cmp

│   ├── lpm_ram_dp0.vhd

│   ├── lpm_ram_dq0.bsf

│   ├── lpm_ram_dq0.cmp

│   └── lpm_ram_dq0.vhd

├── 相关讲义

│   ├── 基本模型机设计与实现

│   │   ├── DEMO_51

│   │   │   ├── altdpram0.bsf

│   │   │   ├── altdpram0.vhd

│   │   │   ├── ALU181.BSF

│   │   │   ├── ALU181.VHD

│   │   │   ├── AND_3.BDF

│   │   │   ├── CCR.BSF

│   │   │   ├── cmp_state.ini

│   │   │   ├── CNT3.BDF

│   │   │   ├── CNT4.BDF

│   │   │   ├── counter16.bsf

│   │   │   ├── counter16.vhd

│   │   │   ├── COUNTER8.BSF

│   │   │   ├── COUNTER8.VHD

│   │   │   ├── counter_a.bsf

│   │   │   ├── counter_a.vhd

│   │   │   ├── COUNTER.BDF

│   │   │   ├── COUNTER.BSF

│   │   │   ├── CPU5A.asm.rpt

│   │   │   ├── CPU5A_assignment_defaults.qdf

│   │   │   ├── CPU5A.BDF

│   │   │   ├── CPU5A.CDF

│   │   │   ├── CPU5A.done

│   │   │   ├── CPU5A.fit.eqn

│   │   │   ├── CPU5A.fit.rpt

│   │   │   ├── CPU5A.fit.smsg

│   │   │   ├── CPU5A.fit.summary

│   │   │   ├── CPU5A.flow.rpt

│   │   │   ├── CPU5A.map.eqn

│   │   │   ├── CPU5A.map.rpt

│   │   │   ├── CPU5A.map.summary

│   │   │   ├── CPU5A.PIN

│   │   │   ├── CPU5A.POF

│   │   │   ├── CPU5A.QPF

│   │   │   ├── CPU5A.QSF

│   │   │   ├── CPU5A.QWS

│   │   │   ├── CPU5A.sim.rpt

│   │   │   ├── CPU5A.SOF

│   │   │   ├── CPU5A.tan.rpt

│   │   │   ├── CPU5A.tan.summary

│   │   │   ├── CPU5A.VWF

│   │   │   ├── CPU5.BDF

│   │   │   ├── DB

│   │   │   │   ├── altsyncram_0h82.tdf

│   │   │   │   ├── altsyncram_0hu.tdf

│   │   │   │   ├── altsyncram_2iu.tdf

│   │   │   │   ├── altsyncram_47a2.tdf

│   │   │   │   ├── altsyncram_4fs.tdf

│   │   │   │   ├── altsyncram_4qb1.tdf

│   │   │   │   ├── altsyncram_5gm2.tdf

│   │   │   │   ├── altsyncram_68a2.tdf

│   │   │   │   ├── altsyncram_9ki2.tdf

│   │   │   │   ├── altsyncram_gbj2.tdf

│   │   │   │   ├── altsyncram_gj51.tdf

│   │   │   │   ├── altsyncram_kn41.tdf

│   │   │   │   ├── altsyncram_mo41.tdf

│   │   │   │   ├── altsyncram_ol21.tdf

│   │   │   │   ├── altsyncram_pbd2.tdf

│   │   │   │   ├── altsyncram_rcd2.tdf

│   │   │   │   ├── cmpr_4mh.tdf

│   │   │   │   ├── cntr_2jf.tdf

│   │   │   │   ├── CNTR_319.TDF

│   │   │   │   ├── cntr_3ag.tdf

│   │   │   │   ├── CNTR_5J7.TDF

│   │   │   │   ├── cntr_5te.tdf

│   │   │   │   ├── cntr_7ch.tdf

│   │   │   │   ├── cntr_7te.tdf

│   │   │   │   ├── CNTR_8UB.TDF

│   │   │   │   ├── CNTR_AVB.TDF

│   │   │   │   ├── CNTR_BN8.TDF

│   │   │   │   ├── CNTR_DA7.TDF

│   │   │   │   ├── CNTR_FA7.TDF

│   │   │   │   ├── CNTR_FP9.TDF

│   │   │   │   ├── CNTR_MUA.TDF

│   │   │   │   ├── cntr_nsf.tdf

│   │   │   │   ├── cntr_ohe.tdf

│   │   │   │   └── CNTR_OUA.TDF

│   │   │   ├── decoder2_4.bdf

│   │   │   ├── decoder2_4.bsf

│   │   │   ├── decoder_A.bdf

│   │   │   ├── decoder_A.bsf

│   │   │   ├── decoder_B.bdf

│   │   │   ├── decoder_B.bsf

│   │   │   ├── decoder_C.bdf

│   │   │   ├── decoder_C.bsf

│   │   │   ├── DFF_8.BDF

│   │   │   ├── DFF_8.BSF

│   │   │   ├── DSP.BDF

│   │   │   ├── DSP.BSF

│   │   │   ├── DSPLAY.BDF

│   │   │   ├── DSPLAY.BSF

│   │   │   ├── DSPLAY.SYM

│   │   │   ├── DSPL.BDF

│   │   │   ├── DSP.SYM

│   │   │   ├── LDR0_2.BDF

│   │   │   ├── LDR0_2.BSF

│   │   │   ├── lpm_bustri0.bsf

│   │   │   ├── lpm_bustri0.vhd

│   │   │   ├── lpm_constant0.bsf

│   │   │   ├── lpm_constant0.vhd

│   │   │   ├── lpm_counter0.bsf

│   │   │   ├── lpm_counter0.vhd

│   │   │   ├── lpm_counter1.bsf

│   │   │   ├── lpm_counter1.vhd

│   │   │   ├── lpm_latch0.bsf

│   │   │   ├── lpm_latch0.vhd

│   │   │   ├── LPM_MUX0.BSF

│   │   │   ├── LPM_MUX0.VHD

│   │   │   ├── lpm_ram_dq0.bsf

│   │   │   ├── lpm_ram_dq0.vhd

│   │   │   ├── LPM_ROM0.BSF

│   │   │   ├── LPM_ROM0.VHD

│   │   │   ├── MODE.BDF

│   │   │   ├── MODE.BSF

│   │   │   ├── RAM_1.MIF

│   │   │   ├── RAM_A.BDF

│   │   │   ├── RAM.BDF

│   │   │   ├── README

│   │   │   │   └── CPU5使用readme.txt

│   │   │   ├── REG0_2.BDF

│   │   │   ├── REG0_2.BSF

│   │   │   ├── REG6.BDF

│   │   │   ├── REG6.BSF

│   │   │   ├── ROM_11.MIF

│   │   │   ├── ROM_5.MIF

│   │   │   ├── SIM.CFG

│   │   │   ├── STEP4.BSF

│   │   │   ├── STEP4.VQM

│   │   │   ├── STEP5.BSF

│   │   │   ├── STEP5.VQM

│   │   │   ├── STP5.STP

│   │   │   ├── uA_reg.bdf

│   │   │   ├── uA_reg.bsf

│   │   │   ├── uI_C.bdf

│   │   │   ├── uI_C.bsf

│   │   │   └── undo_redo.txt

│   │   ├── DEMO_52

│   │   │   ├── altdpram0.bsf

│   │   │   ├── altdpram0.vhd

│   │   │   ├── ALU1811.VHD

│   │   │   ├── ALU181.BSF

│   │   │   ├── ALU181.SNF

│   │   │   ├── ALU181.VHD

│   │   │   ├── CCR.BSF

│   │   │   ├── cmp_state.ini

│   │   │   ├── CNT3.BDF

│   │   │   ├── CNT4.BDF

│   │   │   ├── COUNTER.BDF

│   │   │   ├── COUNTER.BSF

│   │   │   ├── CPU6.asm.rpt

│   │   │   ├── CPU6_assignment_defaults.qdf

│   │   │   ├── CPU6.BDF

│   │   │   ├── CPU6.CDF

│   │   │   ├── CPU6.done

│   │   │   ├── CPU6.fit.eqn

│   │   │   ├── CPU6.fit.rpt

│   │   │   ├── CPU6.fit.summary

│   │   │   ├── CPU6.flow.rpt

│   │   │   ├── CPU6.map.eqn

│   │   │   ├── CPU6.map.rpt

│   │   │   ├── CPU6.map.summary

│   │   │   ├── CPU6.PIN

│   │   │   ├── CPU6.POF

│   │   │   ├── CPU6.QPF

│   │   │   ├── CPU6.QSF

│   │   │   ├── CPU6.QWS

│   │   │   ├── CPU6.SOF

│   │   │   ├── CPU6.tan.rpt

│   │   │   ├── CPU6.tan.summary

│   │   │   ├── CPU6.VWF

│   │   │   ├── DB

│   │   │   │   ├── altsyncram_57a2.tdf

│   │   │   │   ├── altsyncram_67a2.tdf

│   │   │   │   ├── altsyncram_6fs.tdf

│   │   │   │   ├── altsyncram_78a2.tdf

│   │   │   │   ├── altsyncram_7fs.tdf

│   │   │   │   ├── altsyncram_8fs.tdf

│   │   │   │   ├── altsyncram_8gs.tdf

│   │   │   │   ├── altsyncram_a941.tdf

│   │   │   │   ├── altsyncram_b941.tdf

│   │   │   │   ├── altsyncram_bfd2.tdf

│   │   │   │   ├── altsyncram_c941.tdf

│   │   │   │   ├── altsyncram_cfd2.tdf

│   │   │   │   ├── altsyncram_da41.tdf

│   │   │   │   ├── altsyncram_dgd2.tdf

│   │   │   │   ├── altsyncram_kg82.tdf

│   │   │   │   ├── CNTR_1B8.TDF

│   │   │   │   ├── CNTR_319.TDF

│   │   │   │   ├── CNTR_5J7.TDF

│   │   │   │   ├── CNTR_BN8.TDF

│   │   │   │   ├── CNTR_DA7.TDF

│   │   │   │   ├── CNTR_FA7.TDF

│   │   │   │   ├── CNTR_FB7.TDF

│   │   │   │   ├── CNTR_FP9.TDF

│   │   │   │   ├── CNTR_HB7.TDF

│   │   │   │   ├── CNTR_JV7.TDF

│   │   │   │   ├── CNTR_PD8.TDF

│   │   │   │   ├── CNTR_QD8.TDF

│   │   │   │   ├── CNTR_RE8.TDF

│   │   │   │   ├── CNTR_SE8.TDF

│   │   │   │   ├── CNTR_T98.TDF

│   │   │   │   ├── CNTR_V98.TDF

│   │   │   │   ├── CNTR_VA8.TDF

│   │   │   │   ├── CPU6.(0).cnf.cdb

│   │   │   │   ├── CPU6.(0).cnf.hdb

│   │   │   │   ├── CPU6.(100).cnf.cdb

│   │   │   │   ├── CPU6.(100).cnf.hdb

│   │   │   │   ├── CPU6.(101).cnf.cdb

│   │   │   │   ├── CPU6.(101).cnf.hdb

│   │   │   │   ├── CPU6.(102).cnf.cdb

│   │   │   │   ├── CPU6.(102).cnf.hdb

│   │   │   │   ├── CPU6.(103).cnf.cdb

│   │   │   │   ├── CPU6.(103).cnf.hdb

│   │   │   │   ├── CPU6.(104).cnf.cdb

│   │   │   │   ├── CPU6.(104).cnf.hdb

│   │   │   │   ├── CPU6.(105).cnf.cdb

│   │   │   │   ├── CPU6.(105).cnf.hdb

│   │   │   │   ├── CPU6.(106).cnf.cdb

│   │   │   │   ├── CPU6.(106).cnf.hdb

│   │   │   │   ├── CPU6.(107).cnf.cdb

│   │   │   │   ├── CPU6.(107).cnf.hdb

│   │   │   │   ├── CPU6.(108).cnf.cdb

│   │   │   │   ├── CPU6.(108).cnf.hdb

│   │   │   │   ├── CPU6.(10).cnf.cdb

│   │   │   │   ├── CPU6.(10).cnf.hdb

│   │   │   │   ├── CPU6.(11).cnf.cdb

│   │   │   │   ├── CPU6.(11).cnf.hdb

│   │   │   │   ├── CPU6.(12).cnf.cdb

│   │   │   │   ├── CPU6.(12).cnf.hdb

│   │   │   │   ├── CPU6.(13).cnf.cdb

│   │   │   │   ├── CPU6.(13).cnf.hdb

│   │   │   │   ├── CPU6.(14).cnf.cdb

│   │   │   │   ├── CPU6.(14).cnf.hdb

│   │   │   │   ├── CPU6.(15).cnf.cdb

│   │   │   │   ├── CPU6.(15).cnf.hdb

│   │   │   │   ├── CPU6.(16).cnf.cdb

│   │   │   │   ├── CPU6.(16).cnf.hdb

│   │   │   │   ├── CPU6.(17).cnf.cdb

│   │   │   │   ├── CPU6.(17).cnf.hdb

│   │   │   │   ├── CPU6.(18).cnf.cdb

│   │   │   │   ├── CPU6.(18).cnf.hdb

│   │   │   │   ├── CPU6.(19).cnf.cdb

│   │   │   │   ├── CPU6.(19).cnf.hdb

│   │   │   │   ├── CPU6.(1).cnf.cdb

│   │   │   │   ├── CPU6.(1).cnf.hdb

│   │   │   │   ├── CPU6.(20).cnf.cdb

│   │   │   │   ├── CPU6.(20).cnf.hdb

│   │   │   │   ├── CPU6.(21).cnf.cdb

│   │   │   │   ├── CPU6.(21).cnf.hdb

│   │   │   │   ├── CPU6.(22).cnf.cdb

│   │   │   │   ├── CPU6.(22).cnf.hdb

│   │   │   │   ├── CPU6.(23).cnf.cdb

│   │   │   │   ├── CPU6.(23).cnf.hdb

│   │   │   │   ├── CPU6.(24).cnf.cdb

│   │   │   │   ├── CPU6.(24).cnf.hdb

│   │   │   │   ├── CPU6.(25).cnf.cdb

│   │   │   │   ├── CPU6.(25).cnf.hdb

│   │   │   │   ├── CPU6.(26).cnf.cdb

│   │   │   │   ├── CPU6.(26).cnf.hdb

│   │   │   │   ├── CPU6.(27).cnf.cdb

│   │   │   │   ├── CPU6.(27).cnf.hdb

│   │   │   │   ├── CPU6.(28).cnf.cdb

│   │   │   │   ├── CPU6.(28).cnf.hdb

│   │   │   │   ├── CPU6.(29).cnf.cdb

│   │   │   │   ├── CPU6.(29).cnf.hdb

│   │   │   │   ├── CPU6.(2).cnf.cdb

│   │   │   │   ├── CPU6.(2).cnf.hdb

│   │   │   │   ├── CPU6.(30).cnf.cdb

│   │   │   │   ├── CPU6.(30).cnf.hdb

│   │   │   │   ├── CPU6.(31).cnf.cdb

│   │   │   │   ├── CPU6.(31).cnf.hdb

│   │   │   │   ├── CPU6.(32).cnf.cdb

│   │   │   │   ├── CPU6.(32).cnf.hdb

│   │   │   │   ├── CPU6.(33).cnf.cdb

│   │   │   │   ├── CPU6.(33).cnf.hdb

│   │   │   │   ├── CPU6.(34).cnf.cdb

│   │   │   │   ├── CPU6.(34).cnf.hdb

│   │   │   │   ├── CPU6.(35).cnf.cdb

│   │   │   │   ├── CPU6.(35).cnf.hdb

│   │   │   │   ├── CPU6.(36).cnf.cdb

│   │   │   │   ├── CPU6.(36).cnf.hdb

│   │   │   │   ├── CPU6.(37).cnf.cdb

│   │   │   │   ├── CPU6.(37).cnf.hdb

│   │   │   │   ├── CPU6.(38).cnf.cdb

│   │   │   │   ├── CPU6.(38).cnf.hdb

│   │   │   │   ├── CPU6.(39).cnf.cdb

│   │   │   │   ├── CPU6.(39).cnf.hdb

│   │   │   │   ├── CPU6.(3).cnf.cdb

│   │   │   │   ├── CPU6.(3).cnf.hdb

│   │   │   │   ├── CPU6.(40).cnf.cdb

│   │   │   │   ├── CPU6.(40).cnf.hdb

│   │   │   │   ├── CPU6.(41).cnf.cdb

│   │   │   │   ├── CPU6.(41).cnf.hdb

│   │   │   │   ├── CPU6.(42).cnf.cdb

│   │   │   │   ├── CPU6.(42).cnf.hdb

│   │   │   │   ├── CPU6.(43).cnf.cdb

│   │   │   │   ├── CPU6.(43).cnf.hdb

│   │   │   │   ├── CPU6.(44).cnf.cdb

│   │   │   │   ├── CPU6.(44).cnf.hdb

│   │   │   │   ├── CPU6.(45).cnf.cdb

│   │   │   │   ├── CPU6.(45).cnf.hdb

│   │   │   │   ├── CPU6.(46).cnf.cdb

│   │   │   │   ├── CPU6.(46).cnf.hdb

│   │   │   │   ├── CPU6.(47).cnf.cdb

│   │   │   │   ├── CPU6.(47).cnf.hdb

│   │   │   │   ├── CPU6.(48).cnf.cdb

│   │   │   │   ├── CPU6.(48).cnf.hdb

│   │   │   │   ├── CPU6.(49).cnf.cdb

│   │   │   │   ├── CPU6.(49).cnf.hdb

│   │   │   │   ├── CPU6.(4).cnf.cdb

│   │   │   │   ├── CPU6.(4).cnf.hdb

│   │   │   │   ├── CPU6.(50).cnf.cdb

│   │   │   │   ├── CPU6.(50).cnf.hdb

│   │   │   │   ├── CPU6.(51).cnf.cdb

│   │   │   │   ├── CPU6.(51).cnf.hdb

│   │   │   │   ├── CPU6.(52).cnf.cdb

│   │   │   │   ├── CPU6.(52).cnf.hdb

│   │   │   │   ├── CPU6.(53).cnf.cdb

│   │   │   │   ├── CPU6.(53).cnf.hdb

│   │   │   │   ├── CPU6.(54).cnf.cdb

│   │   │   │   ├── CPU6.(54).cnf.hdb

│   │   │   │   ├── CPU6.(55).cnf.cdb

│   │   │   │   ├── CPU6.(55).cnf.hdb

│   │   │   │   ├── CPU6.(56).cnf.cdb

│   │   │   │   ├── CPU6.(56).cnf.hdb

│   │   │   │   ├── CPU6.(57).cnf.cdb

│   │   │   │   ├── CPU6.(57).cnf.hdb

│   │   │   │   ├── CPU6.(58).cnf.cdb

│   │   │   │   ├── CPU6.(58).cnf.hdb

│   │   │   │   ├── CPU6.(59).cnf.cdb

│   │   │   │   ├── CPU6.(59).cnf.hdb

│   │   │   │   ├── CPU6.(5).cnf.cdb

│   │   │   │   ├── CPU6.(5).cnf.hdb

│   │   │   │   ├── CPU6.(60).cnf.cdb

│   │   │   │   ├── CPU6.(60).cnf.hdb

│   │   │   │   ├── CPU6.(61).cnf.cdb

│   │   │   │   ├── CPU6.(61).cnf.hdb

│   │   │   │   ├── CPU6.(62).cnf.cdb

│   │   │   │   ├── CPU6.(62).cnf.hdb

│   │   │   │   ├── CPU6.(63).cnf.cdb

│   │   │   │   ├── CPU6.(63).cnf.hdb

│   │   │   │   ├── CPU6.(64).cnf.cdb

│   │   │   │   ├── CPU6.(64).cnf.hdb

│   │   │   │   ├── CPU6.(65).cnf.cdb

│   │   │   │   ├── CPU6.(65).cnf.hdb

│   │   │   │   ├── CPU6.(66).cnf.cdb

│   │   │   │   ├── CPU6.(66).cnf.hdb

│   │   │   │   ├── CPU6.(67).cnf.cdb

│   │   │   │   ├── CPU6.(67).cnf.hdb

│   │   │   │   ├── CPU6.(68).cnf.cdb

│   │   │   │   ├── CPU6.(68).cnf.hdb

│   │   │   │   ├── CPU6.(69).cnf.cdb

│   │   │   │   ├── CPU6.(69).cnf.hdb

│   │   │   │   ├── CPU6.(6).cnf.cdb

│   │   │   │   ├── CPU6.(6).cnf.hdb

│   │   │   │   ├── CPU6.(70).cnf.cdb

│   │   │   │   ├── CPU6.(70).cnf.hdb

│   │   │   │   ├── CPU6.(71).cnf.cdb

│   │   │   │   ├── CPU6.(71).cnf.hdb

│   │   │   │   ├── CPU6.(72).cnf.cdb

│   │   │   │   ├── CPU6.(72).cnf.hdb

│   │   │   │   ├── CPU6.(73).cnf.cdb

│   │   │   │   ├── CPU6.(73).cnf.hdb

│   │   │   │   ├── CPU6.(74).cnf.cdb

│   │   │   │   ├── CPU6.(74).cnf.hdb

│   │   │   │   ├── CPU6.(75).cnf.cdb

│   │   │   │   ├── CPU6.(75).cnf.hdb

│   │   │   │   ├── CPU6.(76).cnf.cdb

│   │   │   │   ├── CPU6.(76).cnf.hdb

│   │   │   │   ├── CPU6.(77).cnf.cdb

│   │   │   │   ├── CPU6.(77).cnf.hdb

│   │   │   │   ├── CPU6.(78).cnf.cdb

│   │   │   │   ├── CPU6.(78).cnf.hdb

│   │   │   │   ├── CPU6.(79).cnf.cdb

│   │   │   │   ├── CPU6.(79).cnf.hdb

│   │   │   │   ├── CPU6.(7).cnf.cdb

│   │   │   │   ├── CPU6.(7).cnf.hdb

│   │   │   │   ├── CPU6.(80).cnf.cdb

│   │   │   │   ├── CPU6.(80).cnf.hdb

│   │   │   │   ├── CPU6.(81).cnf.cdb

│   │   │   │   ├── CPU6.(81).cnf.hdb

│   │   │   │   ├── CPU6.(82).cnf.cdb

│   │   │   │   ├── CPU6.(82).cnf.hdb

│   │   │   │   ├── CPU6.(83).cnf.cdb

│   │   │   │   ├── CPU6.(83).cnf.hdb

│   │   │   │   ├── CPU6.(84).cnf.cdb

│   │   │   │   ├── CPU6.(84).cnf.hdb

│   │   │   │   ├── CPU6.(85).cnf.cdb

│   │   │   │   ├── CPU6.(85).cnf.hdb

│   │   │   │   ├── CPU6.(86).cnf.cdb

│   │   │   │   ├── CPU6.(86).cnf.hdb

│   │   │   │   ├── CPU6.(87).cnf.cdb

│   │   │   │   ├── CPU6.(87).cnf.hdb

│   │   │   │   ├── CPU6.(88).cnf.cdb

│   │   │   │   ├── CPU6.(88).cnf.hdb

│   │   │   │   ├── CPU6.(89).cnf.cdb

│   │   │   │   ├── CPU6.(89).cnf.hdb

│   │   │   │   ├── CPU6.(8).cnf.cdb

│   │   │   │   ├── CPU6.(8).cnf.hdb

│   │   │   │   ├── CPU6.(90).cnf.cdb

│   │   │   │   ├── CPU6.(90).cnf.hdb

│   │   │   │   ├── CPU6.(91).cnf.cdb

│   │   │   │   ├── CPU6.(91).cnf.hdb

│   │   │   │   ├── CPU6.(92).cnf.cdb

│   │   │   │   ├── CPU6.(92).cnf.hdb

│   │   │   │   ├── CPU6.(93).cnf.cdb

│   │   │   │   ├── CPU6.(93).cnf.hdb

│   │   │   │   ├── CPU6.(94).cnf.cdb

│   │   │   │   ├── CPU6.(94).cnf.hdb

│   │   │   │   ├── CPU6.(95).cnf.cdb

│   │   │   │   ├── CPU6.(95).cnf.hdb

│   │   │   │   ├── CPU6.(96).cnf.cdb

│   │   │   │   ├── CPU6.(96).cnf.hdb

│   │   │   │   ├── CPU6.(97).cnf.cdb

│   │   │   │   ├── CPU6.(97).cnf.hdb

│   │   │   │   ├── CPU6.(98).cnf.cdb

│   │   │   │   ├── CPU6.(98).cnf.hdb

│   │   │   │   ├── CPU6.(99).cnf.cdb

│   │   │   │   ├── CPU6.(99).cnf.hdb

│   │   │   │   ├── CPU6.(9).cnf.cdb

│   │   │   │   ├── CPU6.(9).cnf.hdb

│   │   │   │   ├── CPU6.asm.qmsg

│   │   │   │   ├── CPU6.cmp.cdb

│   │   │   │   ├── CPU6.cmp.ddb

│   │   │   │   ├── CPU6.cmp.hdb

│   │   │   │   ├── CPU6_cmp.qrpt

│   │   │   │   ├── CPU6.cmp.rdb

│   │   │   │   ├── CPU6.cmp.tdb

│   │   │   │   ├── CPU6.dat_manager.dat

│   │   │   │   ├── CPU6.db_info

│   │   │   │   ├── CPU6.fit.qmsg

│   │   │   │   ├── CPU6.hier_info

│   │   │   │   ├── CPU6.HIF

│   │   │   │   ├── CPU6.ICC

│   │   │   │   ├── CPU6.map.cdb

│   │   │   │   ├── CPU6.map.hdb

│   │   │   │   ├── CPU6.map.qmsg

│   │   │   │   ├── CPU6.pre_map.hdb

│   │   │   │   ├── CPU6.project.hdb

│   │   │   │   ├── CPU6.rtlv.hdb

│   │   │   │   ├── CPU6.rtlv_sg.cdb

│   │   │   │   ├── CPU6.rtlv_sg_swap.cdb

│   │   │   │   ├── CPU6.sgdiff.cdb

│   │   │   │   ├── CPU6.sgdiff.hdb

│   │   │   │   ├── CPU6.signalprobe.cdb

│   │   │   │   ├── CPU6.sld_design_entry_dsc.sci

│   │   │   │   ├── CPU6.sld_design_entry.sci

│   │   │   │   ├── CPU6.syn_hier_info

│   │   │   │   ├── CPU6.tan.qmsg

│   │   │   │   ├── CPU7.(0).cnf.cdb

│   │   │   │   ├── CPU7.(0).cnf.hdb

│   │   │   │   ├── CPU7.(10).cnf.cdb

│   │   │   │   ├── CPU7.(10).cnf.hdb

│   │   │   │   ├── CPU7.(11).cnf.cdb

│   │   │   │   ├── CPU7.(11).cnf.hdb

│   │   │   │   ├── CPU7.(12).cnf.cdb

│   │   │   │   ├── CPU7.(12).cnf.hdb

│   │   │   │   ├── CPU7.(13).cnf.cdb

│   │   │   │   ├── CPU7.(13).cnf.hdb

│   │   │   │   ├── CPU7.(14).cnf.cdb

│   │   │   │   ├── CPU7.(14).cnf.hdb

│   │   │   │   ├── CPU7.(15).cnf.cdb

│   │   │   │   ├── CPU7.(15).cnf.hdb

│   │   │   │   ├── CPU7.(16).cnf.cdb

│   │   │   │   ├── CPU7.(16).cnf.hdb

│   │   │   │   ├── CPU7.(17).cnf.cdb

│   │   │   │   ├── CPU7.(17).cnf.hdb

│   │   │   │   ├── CPU7.(18).cnf.cdb

│   │   │   │   ├── CPU7.(18).cnf.hdb

│   │   │   │   ├── CPU7.(19).cnf.cdb

│   │   │   │   ├── CPU7.(19).cnf.hdb

│   │   │   │   ├── CPU7.(1).cnf.cdb

│   │   │   │   ├── CPU7.(1).cnf.hdb

│   │   │   │   ├── CPU7.(20).cnf.cdb

│   │   │   │   ├── CPU7.(20).cnf.hdb

│   │   │   │   ├── CPU7.(21).cnf.cdb

│   │   │   │   ├── CPU7.(21).cnf.hdb

│   │   │   │   ├── CPU7.(22).cnf.cdb

│   │   │   │   ├── CPU7.(22).cnf.hdb

│   │   │   │   ├── CPU7.(23).cnf.cdb

│   │   │   │   ├── CPU7.(23).cnf.hdb

│   │   │   │   ├── CPU7.(24).cnf.cdb

│   │   │   │   ├── CPU7.(24).cnf.hdb

│   │   │   │   ├── CPU7.(25).cnf.cdb

│   │   │   │   ├── CPU7.(25).cnf.hdb

│   │   │   │   ├── CPU7.(26).cnf.cdb

│   │   │   │   ├── CPU7.(26).cnf.hdb

│   │   │   │   ├── CPU7.(27).cnf.cdb

│   │   │   │   ├── CPU7.(27).cnf.hdb

│   │   │   │   ├── CPU7.(28).cnf.cdb

│   │   │   │   ├── CPU7.(28).cnf.hdb

│   │   │   │   ├── CPU7.(29).cnf.cdb

│   │   │   │   ├── CPU7.(29).cnf.hdb

│   │   │   │   ├── CPU7.(2).cnf.cdb

│   │   │   │   ├── CPU7.(2).cnf.hdb

│   │   │   │   ├── CPU7.(30).cnf.cdb

│   │   │   │   ├── CPU7.(30).cnf.hdb

│   │   │   │   ├── CPU7.(31).cnf.cdb

│   │   │   │   ├── CPU7.(31).cnf.hdb

│   │   │   │   ├── CPU7.(32).cnf.cdb

│   │   │   │   ├── CPU7.(32).cnf.hdb

│   │   │   │   ├── CPU7.(33).cnf.cdb

│   │   │   │   ├── CPU7.(33).cnf.hdb

│   │   │   │   ├── CPU7.(34).cnf.cdb

│   │   │   │   ├── CPU7.(34).cnf.hdb

│   │   │   │   ├── CPU7.(35).cnf.cdb

│   │   │   │   ├── CPU7.(35).cnf.hdb

│   │   │   │   ├── CPU7.(36).cnf.cdb

│   │   │   │   ├── CPU7.(36).cnf.hdb

│   │   │   │   ├── CPU7.(37).cnf.cdb

│   │   │   │   ├── CPU7.(37).cnf.hdb

│   │   │   │   ├── CPU7.(38).cnf.cdb

│   │   │   │   ├── CPU7.(38).cnf.hdb

│   │   │   │   ├── CPU7.(39).cnf.cdb

│   │   │   │   ├── CPU7.(39).cnf.hdb

│   │   │   │   ├── CPU7.(3).cnf.cdb

│   │   │   │   ├── CPU7.(3).cnf.hdb

│   │   │   │   ├── CPU7.(40).cnf.cdb

│   │   │   │   ├── CPU7.(40).cnf.hdb

│   │   │   │   ├── CPU7.(41).cnf.cdb

│   │   │   │   ├── CPU7.(41).cnf.hdb

│   │   │   │   ├── CPU7.(42).cnf.cdb

│   │   │   │   ├── CPU7.(42).cnf.hdb

│   │   │   │   ├── CPU7.(43).cnf.cdb

│   │   │   │   ├── CPU7.(43).cnf.hdb

│   │   │   │   ├── CPU7.(44).cnf.cdb

│   │   │   │   ├── CPU7.(44).cnf.hdb

│   │   │   │   ├── CPU7.(45).cnf.cdb

│   │   │   │   ├── CPU7.(45).cnf.hdb

│   │   │   │   ├── CPU7.(46).cnf.cdb

│   │   │   │   ├── CPU7.(46).cnf.hdb

│   │   │   │   ├── CPU7.(47).cnf.cdb

│   │   │   │   ├── CPU7.(47).cnf.hdb

│   │   │   │   ├── CPU7.(48).cnf.cdb

│   │   │   │   ├── CPU7.(48).cnf.hdb

│   │   │   │   ├── CPU7.(49).cnf.cdb

│   │   │   │   ├── CPU7.(49).cnf.hdb

│   │   │   │   ├── CPU7.(4).cnf.cdb

│   │   │   │   ├── CPU7.(4).cnf.hdb

│   │   │   │   ├── CPU7.(50).cnf.cdb

│   │   │   │   ├── CPU7.(50).cnf.hdb

│   │   │   │   ├── CPU7.(51).cnf.cdb

│   │   │   │   ├── CPU7.(51).cnf.hdb

│   │   │   │   ├── CPU7.(52).cnf.cdb

│   │   │   │   ├── CPU7.(52).cnf.hdb

│   │   │   │   ├── CPU7.(53).cnf.cdb

│   │   │   │   ├── CPU7.(53).cnf.hdb

│   │   │   │   ├── CPU7.(54).cnf.cdb

│   │   │   │   ├── CPU7.(54).cnf.hdb

│   │   │   │   ├── CPU7.(55).cnf.cdb

│   │   │   │   ├── CPU7.(55).cnf.hdb

│   │   │   │   ├── CPU7.(56).cnf.cdb

│   │   │   │   ├── CPU7.(56).cnf.hdb

│   │   │   │   ├── CPU7.(57).cnf.cdb

│   │   │   │   ├── CPU7.(57).cnf.hdb

│   │   │   │   ├── CPU7.(58).cnf.cdb

│   │   │   │   ├── CPU7.(58).cnf.hdb

│   │   │   │   ├── CPU7.(59).cnf.cdb

│   │   │   │   ├── CPU7.(59).cnf.hdb

│   │   │   │   ├── CPU7.(5).cnf.cdb

│   │   │   │   ├── CPU7.(5).cnf.hdb

│   │   │   │   ├── CPU7.(60).cnf.cdb

│   │   │   │   ├── CPU7.(60).cnf.hdb

│   │   │   │   ├── CPU7.(61).cnf.cdb

│   │   │   │   ├── CPU7.(61).cnf.hdb

│   │   │   │   ├── CPU7.(62).cnf.cdb

│   │   │   │   ├── CPU7.(62).cnf.hdb

│   │   │   │   ├── CPU7.(63).cnf.cdb

│   │   │   │   ├── CPU7.(63).cnf.hdb

│   │   │   │   ├── CPU7.(64).cnf.cdb

│   │   │   │   ├── CPU7.(64).cnf.hdb

│   │   │   │   ├── CPU7.(65).cnf.cdb

│   │   │   │   ├── CPU7.(65).cnf.hdb

│   │   │   │   ├── CPU7.(66).cnf.cdb

│   │   │   │   ├── CPU7.(66).cnf.hdb

│   │   │   │   ├── CPU7.(67).cnf.cdb

│   │   │   │   ├── CPU7.(67).cnf.hdb

│   │   │   │   ├── CPU7.(68).cnf.cdb

│   │   │   │   ├── CPU7.(68).cnf.hdb

│   │   │   │   ├── CPU7.(69).cnf.cdb

│   │   │   │   ├── CPU7.(69).cnf.hdb

│   │   │   │   ├── CPU7.(6).cnf.cdb

│   │   │   │   ├── CPU7.(6).cnf.hdb

│   │   │   │   ├── CPU7.(70).cnf.cdb

│   │   │   │   ├── CPU7.(70).cnf.hdb

│   │   │   │   ├── CPU7.(71).cnf.cdb

│   │   │   │   ├── CPU7.(71).cnf.hdb

│   │   │   │   ├── CPU7.(72).cnf.cdb

│   │   │   │   ├── CPU7.(72).cnf.hdb

│   │   │   │   ├── CPU7.(73).cnf.cdb

│   │   │   │   ├── CPU7.(73).cnf.hdb

│   │   │   │   ├── CPU7.(74).cnf.cdb

│   │   │   │   ├── CPU7.(74).cnf.hdb

│   │   │   │   ├── CPU7.(75).cnf.cdb

│   │   │   │   ├── CPU7.(75).cnf.hdb

│   │   │   │   ├── CPU7.(7).cnf.cdb

│   │   │   │   ├── CPU7.(7).cnf.hdb

│   │   │   │   ├── CPU7.(8).cnf.cdb

│   │   │   │   ├── CPU7.(8).cnf.hdb

│   │   │   │   ├── CPU7.(9).cnf.cdb

│   │   │   │   ├── CPU7.(9).cnf.hdb

│   │   │   │   ├── CPU7.asm.qmsg

│   │   │   │   ├── CPU7.cmp.cdb

│   │   │   │   ├── CPU7.cmp.ddb

│   │   │   │   ├── CPU7.cmp.hdb

│   │   │   │   ├── CPU7_cmp.qrpt

│   │   │   │   ├── CPU7.cmp.rdb

│   │   │   │   ├── CPU7.cmp.tdb

│   │   │   │   ├── CPU7.dat_manager.dat

│   │   │   │   ├── CPU7.db_info

│   │   │   │   ├── CPU7.fit.qmsg

│   │   │   │   ├── CPU7.hier_info

│   │   │   │   ├── CPU7.HIF

│   │   │   │   ├── CPU7.ICC

│   │   │   │   ├── CPU7.map.cdb

│   │   │   │   ├── CPU7.map.hdb

│   │   │   │   ├── CPU7.map.qmsg

│   │   │   │   ├── CPU7.pre_map.hdb

│   │   │   │   ├── CPU7.project.hdb

│   │   │   │   ├── CPU7.rtlv.hdb

│   │   │   │   ├── CPU7.rtlv_sg.cdb

│   │   │   │   ├── CPU7.rtlv_sg_swap.cdb

│   │   │   │   ├── CPU7.sgdiff.cdb

│   │   │   │   ├── CPU7.sgdiff.hdb

│   │   │   │   ├── CPU7.signalprobe.cdb

│   │   │   │   ├── CPU7.sld_design_entry_dsc.sci

│   │   │   │   ├── CPU7.sld_design_entry.sci

│   │   │   │   ├── CPU7.syn_hier_info

│   │   │   │   ├── CPU7.tan.qmsg

│   │   │   │   ├── decode_9ie.tdf

│   │   │   │   ├── decode_bje.tdf

│   │   │   │   ├── MUX_4HC.TDF

│   │   │   │   ├── MUX_6IC.TDF

│   │   │   │   ├── MUX_BFC.TDF

│   │   │   │   ├── MUX_DGC.TDF

│   │   │   │   ├── SHEFT.(0).cnf.cdb

│   │   │   │   ├── SHEFT.(0).cnf.hdb

│   │   │   │   ├── SHEFT.asm.qmsg

│   │   │   │   ├── SHEFT.cbx.xml

│   │   │   │   ├── SHEFT.cmp0.ddb

│   │   │   │   ├── SHEFT.cmp.cdb

│   │   │   │   ├── SHEFT.cmp.hdb

│   │   │   │   ├── SHEFT_cmp.qrpt

│   │   │   │   ├── SHEFT.cmp.rdb

│   │   │   │   ├── SHEFT.cmp.tdb

│   │   │   │   ├── SHEFT.db_info

│   │   │   │   ├── SHEFT.eco.cdb

│   │   │   │   ├── SHEFT.eds_overflow

│   │   │   │   ├── SHEFT.fit.qmsg

│   │   │   │   ├── SHEFT.hier_info

│   │   │   │   ├── SHEFT.HIF

│   │   │   │   ├── SHEFT.map.cdb

│   │   │   │   ├── SHEFT.map.hdb

│   │   │   │   ├── SHEFT.map.qmsg

│   │   │   │   ├── SHEFT.pre_map.cdb

│   │   │   │   ├── SHEFT.pre_map.hdb

│   │   │   │   ├── SHEFT.PSP

│   │   │   │   ├── SHEFT.rtlv.hdb

│   │   │   │   ├── SHEFT.rtlv_sg.cdb

│   │   │   │   ├── SHEFT.rtlv_sg_swap.cdb

│   │   │   │   ├── SHEFT.sgdiff.cdb

│   │   │   │   ├── SHEFT.sgdiff.hdb

│   │   │   │   ├── SHEFT.signalprobe.cdb

│   │   │   │   ├── SHEFT.sim.hdb

│   │   │   │   ├── SHEFT.sim.qmsg

│   │   │   │   ├── SHEFT_sim.qrpt

│   │   │   │   ├── SHEFT.sim.rdb

│   │   │   │   ├── SHEFT.sim.vwf

│   │   │   │   ├── SHEFT.sld_design_entry_dsc.sci

│   │   │   │   ├── SHEFT.sld_design_entry.sci

│   │   │   │   ├── SHEFT.syn_hier_info

│   │   │   │   └── SHEFT.tan.qmsg

│   │   │   ├── DB1

│   │   │   │   ├── CPU7.asm.rpt

│   │   │   │   ├── CPU7.BDF

│   │   │   │   ├── CPU7.CDF

│   │   │   │   ├── CPU7.done

│   │   │   │   ├── CPU7.fit.eqn

│   │   │   │   ├── CPU7.fit.rpt

│   │   │   │   ├── CPU7.fit.summary

│   │   │   │   ├── CPU7.flow.rpt

│   │   │   │   ├── CPU7.map.eqn

│   │   │   │   ├── CPU7.map.rpt

│   │   │   │   ├── CPU7.map.summary

│   │   │   │   ├── CPU7.PIN

│   │   │   │   ├── CPU7.QPF

│   │   │   │   ├── CPU7.QSF

│   │   │   │   ├── CPU7.QWS

│   │   │   │   ├── CPU7.SOF

│   │   │   │   ├── CPU7.tan.rpt

│   │   │   │   ├── CPU7.tan.summary

│   │   │   │   ├── CPU7.VWF

│   │   │   │   ├── CPU8.BDF

│   │   │   │   ├── CPU8.CDF

│   │   │   │   ├── CPU8.QPF

│   │   │   │   ├── CPU8.SOF

│   │   │   │   └── CPU8.VWF

│   │   │   ├── decoder2_4.bdf

│   │   │   ├── decoder2_4.bsf

│   │   │   ├── decoder_A.bdf

│   │   │   ├── decoder_A.bsf

│   │   │   ├── decoder_B.bdf

│   │   │   ├── decoder_B.bsf

│   │   │   ├── decoder_C.bdf

│   │   │   ├── decoder_C.bsf

│   │   │   ├── DFF_8.BDF

│   │   │   ├── DFF_8.BSF

│   │   │   ├── DSP.BDF

│   │   │   ├── DSP.BSF

│   │   │   ├── DSPLAY.BDF

│   │   │   ├── DSPLAY.BSF

│   │   │   ├── DSPLAY.SCF

│   │   │   ├── DSPLAY.TTF

│   │   │   ├── DSPLAY.VWF

│   │   │   ├── DSP.SCF

│   │   │   ├── Fc_z.bdf

│   │   │   ├── Fc_z.bsf

│   │   │   ├── LDR0_2.BDF

│   │   │   ├── LDR0_2.BSF

│   │   │   ├── lpm_bustri0.bsf

│   │   │   ├── lpm_bustri0.vhd

│   │   │   ├── lpm_constant6.bsf

│   │   │   ├── lpm_constant6.vhd

│   │   │   ├── lpm_counter0.bsf

│   │   │   ├── lpm_counter0.vhd

│   │   │   ├── lpm_counter1.bsf

│   │   │   ├── lpm_counter1.vhd

│   │   │   ├── lpm_latch0.bsf

│   │   │   ├── lpm_latch0.vhd

│   │   │   ├── LPM_MUX0.BSF

│   │   │   ├── LPM_MUX0.VHD

│   │   │   ├── lpm_ram_dq0.bsf

│   │   │   ├── lpm_ram_dq0.vhd

│   │   │   ├── LPM_ROM0.BSF

│   │   │   ├── LPM_ROM0.VHD

│   │   │   ├── MODE.BDF

│   │   │   ├── MODE.BSF

│   │   │   ├── PC8.BSF

│   │   │   ├── PC8.CMP

│   │   │   ├── PC8.VHD

│   │   │   ├── pc8_wave0.jpg

│   │   │   ├── pc8_waveforms.html

│   │   │   ├── RAM_6.MIF

│   │   │   ├── RAM_7.MIF

│   │   │   ├── ram_8A.mif

│   │   │   ├── ram_8B.mif

│   │   │   ├── RAM_8.MIF

│   │   │   ├── RAM_A.BDF

│   │   │   ├── REG0_2.BDF

│   │   │   ├── REG0_2.BSF

│   │   │   ├── ROM_6.MIF

│   │   │   ├── ROM_7.MIF

│   │   │   ├── ROM_8.MIF

│   │   │   ├── SHEFT.asm.rpt

│   │   │   ├── SHEFT.BSF

│   │   │   ├── SHEFT.done

│   │   │   ├── SHEFT.fit.eqn

│   │   │   ├── SHEFT.fit.rpt

│   │   │   ├── SHEFT.fit.summary

│   │   │   ├── SHEFT.flow.rpt

│   │   │   ├── SHEFT.map.eqn

│   │   │   ├── SHEFT.map.rpt

│   │   │   ├── SHEFT.map.summary

│   │   │   ├── SHEFT.PIN

│   │   │   ├── SHEFT.QSF

│   │   │   ├── SHEFT.QWS

│   │   │   ├── SHEFT.sim.rpt

│   │   │   ├── SHEFT.tan.rpt

│   │   │   ├── SHEFT.tan.summary

│   │   │   ├── SHEFT.VHD

│   │   │   ├── SHEFT.VWF

│   │   │   ├── SHIFT_1.BDF

│   │   │   ├── SHIFT_1.BSF

│   │   │   ├── STEP4.BSF

│   │   │   ├── STEP4.VQM

│   │   │   ├── STEP.BSF

│   │   │   ├── STP6.STP

│   │   │   ├── uA_reg.bdf

│   │   │   ├── uA_reg.bsf

│   │   │   ├── uI_C.bdf

│   │   │   └── uI_C.bsf

│   │   ├── DEMO_53

│   │   │   ├── altdpram0.bsf

│   │   │   ├── altdpram0.vhd

│   │   │   ├── ALU1811.VHD

│   │   │   ├── ALU181.BSF

│   │   │   ├── ALU181.VHD

│   │   │   ├── cmp_state.ini

│   │   │   ├── CNT3.BDF

│   │   │   ├── CNT4.BDF

│   │   │   ├── COUNTER.BDF

│   │   │   ├── COUNTER.BSF

│   │   │   ├── CPU7.asm.rpt

│   │   │   ├── CPU7.BDF

│   │   │   ├── CPU7.CDF

│   │   │   ├── CPU7.done

│   │   │   ├── CPU7.fit.eqn

│   │   │   ├── CPU7.fit.rpt

│   │   │   ├── CPU7.fit.summary

│   │   │   ├── CPU7.flow.rpt

│   │   │   ├── CPU7.map.eqn

│   │   │   ├── CPU7.map.rpt

│   │   │   ├── CPU7.map.summary

│   │   │   ├── CPU7.PIN

│   │   │   ├── CPU7.POF

│   │   │   ├── CPU7.QPF

│   │   │   ├── CPU7.QSF

│   │   │   ├── CPU7.QWS

│   │   │   ├── CPU7.SOF

│   │   │   ├── CPU7.tan.rpt

│   │   │   ├── CPU7.tan.summary

│   │   │   ├── DB

│   │   │   │   ├── altsyncram_1hb2.tdf

│   │   │   │   ├── altsyncram_4hu.tdf

│   │   │   │   ├── altsyncram_67a2.tdf

│   │   │   │   ├── altsyncram_8fs.tdf

│   │   │   │   ├── altsyncram_9hb2.tdf

│   │   │   │   ├── altsyncram_c941.tdf

│   │   │   │   ├── altsyncram_cfd2.tdf

│   │   │   │   ├── altsyncram_lhb2.tdf

│   │   │   │   ├── altsyncram_sg82.tdf

│   │   │   │   ├── altsyncram_ta91.tdf

│   │   │   │   ├── CNTR_0JA.TDF

│   │   │   │   ├── CNTR_319.TDF

│   │   │   │   ├── CNTR_5J7.TDF

│   │   │   │   ├── CNTR_7JA.TDF

│   │   │   │   ├── CNTR_8UB.TDF

│   │   │   │   ├── CNTR_BN8.TDF

│   │   │   │   ├── CNTR_DA7.TDF

│   │   │   │   ├── CNTR_E7B.TDF

│   │   │   │   ├── CNTR_FA7.TDF

│   │   │   │   ├── CNTR_FP9.TDF

│   │   │   │   ├── CNTR_JKB.TDF

│   │   │   │   ├── CNTR_KBC.TDF

│   │   │   │   ├── CNTR_MUA.TDF

│   │   │   │   ├── CNTR_NV7.TDF

│   │   │   │   ├── CNTR_ODD.TDF

│   │   │   │   ├── CNTR_OUA.TDF

│   │   │   │   ├── CNTR_PD8.TDF

│   │   │   │   ├── CNTR_QD8.TDF

│   │   │   │   ├── CNTR_RIA.TDF

│   │   │   │   ├── CNTR_T98.TDF

│   │   │   │   ├── CNTR_V98.TDF

│   │   │   │   ├── CPU7.(0).cnf.cdb

│   │   │   │   ├── CPU7.(0).cnf.hdb

│   │   │   │   ├── CPU7.(100).cnf.cdb

│   │   │   │   ├── CPU7.(100).cnf.hdb

│   │   │   │   ├── CPU7.(101).cnf.cdb

│   │   │   │   ├── CPU7.(101).cnf.hdb

│   │   │   │   ├── CPU7.(102).cnf.cdb

│   │   │   │   ├── CPU7.(102).cnf.hdb

│   │   │   │   ├── CPU7.(103).cnf.cdb

│   │   │   │   ├── CPU7.(103).cnf.hdb

│   │   │   │   ├── CPU7.(104).cnf.cdb

│   │   │   │   ├── CPU7.(104).cnf.hdb

│   │   │   │   ├── CPU7.(105).cnf.cdb

│   │   │   │   ├── CPU7.(105).cnf.hdb

│   │   │   │   ├── CPU7.(106).cnf.cdb

│   │   │   │   ├── CPU7.(106).cnf.hdb

│   │   │   │   ├── CPU7.(107).cnf.cdb

│   │   │   │   ├── CPU7.(107).cnf.hdb

│   │   │   │   ├── CPU7.(108).cnf.cdb

│   │   │   │   ├── CPU7.(108).cnf.hdb

│   │   │   │   ├── CPU7.(10).cnf.cdb

│   │   │   │   ├── CPU7.(10).cnf.hdb

│   │   │   │   ├── CPU7.(11).cnf.cdb

│   │   │   │   ├── CPU7.(11).cnf.hdb

│   │   │   │   ├── CPU7.(12).cnf.cdb

│   │   │   │   ├── CPU7.(12).cnf.hdb

│   │   │   │   ├── CPU7.(13).cnf.cdb

│   │   │   │   ├── CPU7.(13).cnf.hdb

│   │   │   │   ├── CPU7.(14).cnf.cdb

│   │   │   │   ├── CPU7.(14).cnf.hdb

│   │   │   │   ├── CPU7.(15).cnf.cdb

│   │   │   │   ├── CPU7.(15).cnf.hdb

│   │   │   │   ├── CPU7.(16).cnf.cdb

│   │   │   │   ├── CPU7.(16).cnf.hdb

│   │   │   │   ├── CPU7.(17).cnf.cdb

│   │   │   │   ├── CPU7.(17).cnf.hdb

│   │   │   │   ├── CPU7.(18).cnf.cdb

│   │   │   │   ├── CPU7.(18).cnf.hdb

│   │   │   │   ├── CPU7.(19).cnf.cdb

│   │   │   │   ├── CPU7.(19).cnf.hdb

│   │   │   │   ├── CPU7.(1).cnf.cdb

│   │   │   │   ├── CPU7.(1).cnf.hdb

│   │   │   │   ├── CPU7.(20).cnf.cdb

│   │   │   │   ├── CPU7.(20).cnf.hdb

│   │   │   │   ├── CPU7.(21).cnf.cdb

│   │   │   │   ├── CPU7.(21).cnf.hdb

│   │   │   │   ├── CPU7.(22).cnf.cdb

│   │   │   │   ├── CPU7.(22).cnf.hdb

│   │   │   │   ├── CPU7.(23).cnf.cdb

│   │   │   │   ├── CPU7.(23).cnf.hdb

│   │   │   │   ├── CPU7.(24).cnf.cdb

│   │   │   │   ├── CPU7.(24).cnf.hdb

│   │   │   │   ├── CPU7.(25).cnf.cdb

│   │   │   │   ├── CPU7.(25).cnf.hdb

│   │   │   │   ├── CPU7.(26).cnf.cdb

│   │   │   │   ├── CPU7.(26).cnf.hdb

│   │   │   │   ├── CPU7.(27).cnf.cdb

│   │   │   │   ├── CPU7.(27).cnf.hdb

│   │   │   │   ├── CPU7.(28).cnf.cdb

│   │   │   │   ├── CPU7.(28).cnf.hdb

│   │   │   │   ├── CPU7.(29).cnf.cdb

│   │   │   │   ├── CPU7.(29).cnf.hdb

│   │   │   │   ├── CPU7.(2).cnf.cdb

│   │   │   │   ├── CPU7.(2).cnf.hdb

│   │   │   │   ├── CPU7.(30).cnf.cdb

│   │   │   │   ├── CPU7.(30).cnf.hdb

│   │   │   │   ├── CPU7.(31).cnf.cdb

│   │   │   │   ├── CPU7.(31).cnf.hdb

│   │   │   │   ├── CPU7.(32).cnf.cdb

│   │   │   │   ├── CPU7.(32).cnf.hdb

│   │   │   │   ├── CPU7.(33).cnf.cdb

│   │   │   │   ├── CPU7.(33).cnf.hdb

│   │   │   │   ├── CPU7.(34).cnf.cdb

│   │   │   │   ├── CPU7.(34).cnf.hdb

│   │   │   │   ├── CPU7.(35).cnf.cdb

│   │   │   │   ├── CPU7.(35).cnf.hdb

│   │   │   │   ├── CPU7.(36).cnf.cdb

│   │   │   │   ├── CPU7.(36).cnf.hdb

│   │   │   │   ├── CPU7.(37).cnf.cdb

│   │   │   │   ├── CPU7.(37).cnf.hdb

│   │   │   │   ├── CPU7.(38).cnf.cdb

│   │   │   │   ├── CPU7.(38).cnf.hdb

│   │   │   │   ├── CPU7.(39).cnf.cdb

│   │   │   │   ├── CPU7.(39).cnf.hdb

│   │   │   │   ├── CPU7.(3).cnf.cdb

│   │   │   │   ├── CPU7.(3).cnf.hdb

│   │   │   │   ├── CPU7.(40).cnf.cdb

│   │   │   │   ├── CPU7.(40).cnf.hdb

│   │   │   │   ├── CPU7.(41).cnf.cdb

│   │   │   │   ├── CPU7.(41).cnf.hdb

│   │   │   │   ├── CPU7.(42).cnf.cdb

│   │   │   │   ├── CPU7.(42).cnf.hdb

│   │   │   │   ├── CPU7.(43).cnf.cdb

│   │   │   │   ├── CPU7.(43).cnf.hdb

│   │   │   │   ├── CPU7.(44).cnf.cdb

│   │   │   │   ├── CPU7.(44).cnf.hdb

│   │   │   │   ├── CPU7.(45).cnf.cdb

│   │   │   │   ├── CPU7.(45).cnf.hdb

│   │   │   │   ├── CPU7.(46).cnf.cdb

│   │   │   │   ├── CPU7.(46).cnf.hdb

│   │   │   │   ├── CPU7.(47).cnf.cdb

│   │   │   │   ├── CPU7.(47).cnf.hdb

│   │   │   │   ├── CPU7.(48).cnf.cdb

│   │   │   │   ├── CPU7.(48).cnf.hdb

│   │   │   │   ├── CPU7.(49).cnf.cdb

│   │   │   │   ├── CPU7.(49).cnf.hdb

│   │   │   │   ├── CPU7.(4).cnf.cdb

│   │   │   │   ├── CPU7.(4).cnf.hdb

│   │   │   │   ├── CPU7.(50).cnf.cdb

│   │   │   │   ├── CPU7.(50).cnf.hdb

│   │   │   │   ├── CPU7.(51).cnf.cdb

│   │   │   │   ├── CPU7.(51).cnf.hdb

│   │   │   │   ├── CPU7.(52).cnf.cdb

│   │   │   │   ├── CPU7.(52).cnf.hdb

│   │   │   │   ├── CPU7.(53).cnf.cdb

│   │   │   │   ├── CPU7.(53).cnf.hdb

│   │   │   │   ├── CPU7.(54).cnf.cdb

│   │   │   │   ├── CPU7.(54).cnf.hdb

│   │   │   │   ├── CPU7.(55).cnf.cdb

│   │   │   │   ├── CPU7.(55).cnf.hdb

│   │   │   │   ├── CPU7.(56).cnf.cdb

│   │   │   │   ├── CPU7.(56).cnf.hdb

│   │   │   │   ├── CPU7.(57).cnf.cdb

│   │   │   │   ├── CPU7.(57).cnf.hdb

│   │   │   │   ├── CPU7.(58).cnf.cdb

│   │   │   │   ├── CPU7.(58).cnf.hdb

│   │   │   │   ├── CPU7.(59).cnf.cdb

│   │   │   │   ├── CPU7.(59).cnf.hdb

│   │   │   │   ├── CPU7.(5).cnf.cdb

│   │   │   │   ├── CPU7.(5).cnf.hdb

│   │   │   │   ├── CPU7.(60).cnf.cdb

│   │   │   │   ├── CPU7.(60).cnf.hdb

│   │   │   │   ├── CPU7.(61).cnf.cdb

│   │   │   │   ├── CPU7.(61).cnf.hdb

│   │   │   │   ├── CPU7.(62).cnf.cdb

│   │   │   │   ├── CPU7.(62).cnf.hdb

│   │   │   │   ├── CPU7.(63).cnf.cdb

│   │   │   │   ├── CPU7.(63).cnf.hdb

│   │   │   │   ├── CPU7.(64).cnf.cdb

│   │   │   │   ├── CPU7.(64).cnf.hdb

│   │   │   │   ├── CPU7.(65).cnf.cdb

│   │   │   │   ├── CPU7.(65).cnf.hdb

│   │   │   │   ├── CPU7.(66).cnf.cdb

│   │   │   │   ├── CPU7.(66).cnf.hdb

│   │   │   │   ├── CPU7.(67).cnf.cdb

│   │   │   │   ├── CPU7.(67).cnf.hdb

│   │   │   │   ├── CPU7.(68).cnf.cdb

│   │   │   │   ├── CPU7.(68).cnf.hdb

│   │   │   │   ├── CPU7.(69).cnf.cdb

│   │   │   │   ├── CPU7.(69).cnf.hdb

│   │   │   │   ├── CPU7.(6).cnf.cdb

│   │   │   │   ├── CPU7.(6).cnf.hdb

│   │   │   │   ├── CPU7.(70).cnf.cdb

│   │   │   │   ├── CPU7.(70).cnf.hdb

│   │   │   │   ├── CPU7.(71).cnf.cdb

│   │   │   │   ├── CPU7.(71).cnf.hdb

│   │   │   │   ├── CPU7.(72).cnf.cdb

│   │   │   │   ├── CPU7.(72).cnf.hdb

│   │   │   │   ├── CPU7.(73).cnf.cdb

│   │   │   │   ├── CPU7.(73).cnf.hdb

│   │   │   │   ├── CPU7.(74).cnf.cdb

│   │   │   │   ├── CPU7.(74).cnf.hdb

│   │   │   │   ├── CPU7.(75).cnf.cdb

│   │   │   │   ├── CPU7.(75).cnf.hdb

│   │   │   │   ├── CPU7.(76).cnf.cdb

│   │   │   │   ├── CPU7.(76).cnf.hdb

│   │   │   │   ├── CPU7.(77).cnf.cdb

│   │   │   │   ├── CPU7.(77).cnf.hdb

│   │   │   │   ├── CPU7.(78).cnf.cdb

│   │   │   │   ├── CPU7.(78).cnf.hdb

│   │   │   │   ├── CPU7.(79).cnf.cdb

│   │   │   │   ├── CPU7.(79).cnf.hdb

│   │   │   │   ├── CPU7.(7).cnf.cdb

│   │   │   │   ├── CPU7.(7).cnf.hdb

│   │   │   │   ├── CPU7.(80).cnf.cdb

│   │   │   │   ├── CPU7.(80).cnf.hdb

│   │   │   │   ├── CPU7.(81).cnf.cdb

│   │   │   │   ├── CPU7.(81).cnf.hdb

│   │   │   │   ├── CPU7.(82).cnf.cdb

│   │   │   │   ├── CPU7.(82).cnf.hdb

│   │   │   │   ├── CPU7.(83).cnf.cdb

│   │   │   │   ├── CPU7.(83).cnf.hdb

│   │   │   │   ├── CPU7.(84).cnf.cdb

│   │   │   │   ├── CPU7.(84).cnf.hdb

│   │   │   │   ├── CPU7.(85).cnf.cdb

│   │   │   │   ├── CPU7.(85).cnf.hdb

│   │   │   │   ├── CPU7.(86).cnf.cdb

│   │   │   │   ├── CPU7.(86).cnf.hdb

│   │   │   │   ├── CPU7.(87).cnf.cdb

│   │   │   │   ├── CPU7.(87).cnf.hdb

│   │   │   │   ├── CPU7.(88).cnf.cdb

│   │   │   │   ├── CPU7.(88).cnf.hdb

│   │   │   │   ├── CPU7.(89).cnf.cdb

│   │   │   │   ├── CPU7.(89).cnf.hdb

│   │   │   │   ├── CPU7.(8).cnf.cdb

│   │   │   │   ├── CPU7.(8).cnf.hdb

│   │   │   │   ├── CPU7.(90).cnf.cdb

│   │   │   │   ├── CPU7.(90).cnf.hdb

│   │   │   │   ├── CPU7.(91).cnf.cdb

│   │   │   │   ├── CPU7.(91).cnf.hdb

│   │   │   │   ├── CPU7.(92).cnf.cdb

│   │   │   │   ├── CPU7.(92).cnf.hdb

│   │   │   │   ├── CPU7.(93).cnf.cdb

│   │   │   │   ├── CPU7.(93).cnf.hdb

│   │   │   │   ├── CPU7.(94).cnf.cdb

│   │   │   │   ├── CPU7.(94).cnf.hdb

│   │   │   │   ├── CPU7.(95).cnf.cdb

│   │   │   │   ├── CPU7.(95).cnf.hdb

│   │   │   │   ├── CPU7.(96).cnf.cdb

│   │   │   │   ├── CPU7.(96).cnf.hdb

│   │   │   │   ├── CPU7.(97).cnf.cdb

│   │   │   │   ├── CPU7.(97).cnf.hdb

│   │   │   │   ├── CPU7.(98).cnf.cdb

│   │   │   │   ├── CPU7.(98).cnf.hdb

│   │   │   │   ├── CPU7.(99).cnf.cdb

│   │   │   │   ├── CPU7.(99).cnf.hdb

│   │   │   │   ├── CPU7.(9).cnf.cdb

│   │   │   │   ├── CPU7.(9).cnf.hdb

│   │   │   │   ├── CPU7.asm.qmsg

│   │   │   │   ├── CPU7.cmp.cdb

│   │   │   │   ├── CPU7.cmp.ddb

│   │   │   │   ├── CPU7.cmp.hdb

│   │   │   │   ├── CPU7_cmp.qrpt

│   │   │   │   ├── CPU7.cmp.rdb

│   │   │   │   ├── CPU7.cmp.tdb

│   │   │   │   ├── CPU7.dat_manager.dat

│   │   │   │   ├── CPU7.db_info

│   │   │   │   ├── CPU7.fit.qmsg

│   │   │   │   ├── CPU7.hier_info

│   │   │   │   ├── CPU7.HIF

│   │   │   │   ├── CPU7.ICC

│   │   │   │   ├── CPU7.map.cdb

│   │   │   │   ├── CPU7.map.hdb

│   │   │   │   ├── CPU7.map.qmsg

│   │   │   │   ├── CPU7.pre_map.hdb

│   │   │   │   ├── CPU7.project.hdb

│   │   │   │   ├── CPU7.rtlv.hdb

│   │   │   │   ├── CPU7.rtlv_sg.cdb

│   │   │   │   ├── CPU7.rtlv_sg_swap.cdb

│   │   │   │   ├── CPU7.sgdiff.cdb

│   │   │   │   ├── CPU7.sgdiff.hdb

│   │   │   │   ├── CPU7.signalprobe.cdb

│   │   │   │   ├── CPU7.sld_design_entry_dsc.sci

│   │   │   │   ├── CPU7.sld_design_entry.sci

│   │   │   │   ├── CPU7.syn_hier_info

│   │   │   │   ├── CPU7.tan.qmsg

│   │   │   │   ├── decode_9ie.tdf

│   │   │   │   ├── MUX_4HC.TDF

│   │   │   │   └── MUX_BFC.TDF

│   │   │   ├── decoder2_4.bdf

│   │   │   ├── decoder2_4.bsf

│   │   │   ├── decoder_A.bdf

│   │   │   ├── decoder_A.bsf

│   │   │   ├── decoder_B.bdf

│   │   │   ├── decoder_B.bsf

│   │   │   ├── decoder_C.bdf

│   │   │   ├── decoder_C.bsf

│   │   │   ├── DFF_8.BDF

│   │   │   ├── DFF_8.BSF

│   │   │   ├── DIVIDE_1.BSF

│   │   │   ├── DIVIDE_1.TDF

│   │   │   ├── DSP.BDF

│   │   │   ├── DSP.BSF

│   │   │   ├── DSPLAY.BDF

│   │   │   ├── DSPLAY.BSF

│   │   │   ├── DSPLAY.SCF

│   │   │   ├── DSPLAY.TTF

│   │   │   ├── DSP.SCF

│   │   │   ├── Fc_z.bdf

│   │   │   ├── Fc_z.bsf

│   │   │   ├── LDR0_2.BDF

│   │   │   ├── LDR0_2.BSF

│   │   │   ├── lpm_bustri0.bsf

│   │   │   ├── lpm_bustri0.vhd

│   │   │   ├── lpm_constant6.bsf

│   │   │   ├── lpm_constant6.vhd

│   │   │   ├── lpm_counter0.bsf

│   │   │   ├── lpm_counter0.vhd

│   │   │   ├── lpm_counter1.bsf

│   │   │   ├── lpm_counter1.vhd

│   │   │   ├── lpm_latch0.bsf

│   │   │   ├── lpm_latch0.vhd

│   │   │   ├── LPM_MUX0.BSF

│   │   │   ├── LPM_MUX0.VHD

│   │   │   ├── lpm_ram_dq0.bsf

│   │   │   ├── lpm_ram_dq0.vhd

│   │   │   ├── LPM_ROM0.BSF

│   │   │   ├── LPM_ROM0.VHD

│   │   │   ├── MODE.BDF

│   │   │   ├── MODE.BSF

│   │   │   ├── PC8.BSF

│   │   │   ├── PC8.VHD

│   │   │   ├── RAM_7.MIF

│   │   │   ├── RAM_A.BDF

│   │   │   ├── README

│   │   │   │   └── 复杂模型机的设计与实现readme.txt

│   │   │   ├── REG0_2.BDF

│   │   │   ├── REG0_2.BSF

│   │   │   ├── ROM_7.MIF

│   │   │   ├── SHEFT.BSF

│   │   │   ├── SHEFT.VHD

│   │   │   ├── SHIFT_1.BDF

│   │   │   ├── SHIFT_1.BSF

│   │   │   ├── STEP4.BSF

│   │   │   ├── STEP4.VQM

│   │   │   ├── STP7.STP

│   │   │   ├── uA_reg.bdf

│   │   │   ├── uA_reg.bsf

│   │   │   ├── uI_C.bdf

│   │   │   └── uI_C.bsf

│   │   ├── DEMO_54

│   │   │   ├── altdpram0.bsf

│   │   │   ├── altdpram0.vhd

│   │   │   ├── ALU1811.VHD

│   │   │   ├── ALU181.BSF

│   │   │   ├── ALU181.VHD

│   │   │   ├── cmp_state.ini

│   │   │   ├── CNT3.BDF

│   │   │   ├── CNT4.BDF

│   │   │   ├── COUNTER.BDF

│   │   │   ├── COUNTER.BSF

│   │   │   ├── CPU8.asm.rpt

│   │   │   ├── CPU8.BDF

│   │   │   ├── CPU8.CDF

│   │   │   ├── CPU8.done

│   │   │   ├── CPU8.DPF

│   │   │   ├── CPU8.fit.eqn

│   │   │   ├── CPU8.fit.rpt

│   │   │   ├── CPU8.fit.summary

│   │   │   ├── CPU8.flow.rpt

│   │   │   ├── CPU8.map.eqn

│   │   │   ├── CPU8.map.rpt

│   │   │   ├── CPU8.map.summary

│   │   │   ├── CPU8.PIN

│   │   │   ├── CPU8.POF

│   │   │   ├── CPU8.QPF

│   │   │   ├── CPU8.QSF

│   │   │   ├── CPU8.QWS

│   │   │   ├── CPU8.SOF

│   │   │   ├── CPU8.tan.rpt

│   │   │   ├── CPU8.tan.summary

│   │   │   ├── DB

│   │   │   │   ├── altsyncram_6hu.tdf

│   │   │   │   ├── altsyncram_77a2.tdf

│   │   │   │   ├── altsyncram_afs.tdf

│   │   │   │   ├── altsyncram_dfd2.tdf

│   │   │   │   ├── altsyncram_e941.tdf

│   │   │   │   ├── altsyncram_nhb2.tdf

│   │   │   │   ├── altsyncram_ug82.tdf

│   │   │   │   ├── altsyncram_va91.tdf

│   │   │   │   ├── CNTR_319.TDF

│   │   │   │   ├── CNTR_5J7.TDF

│   │   │   │   ├── CNTR_8JA.TDF

│   │   │   │   ├── CNTR_8UB.TDF

│   │   │   │   ├── CNTR_BN8.TDF

│   │   │   │   ├── CNTR_DA7.TDF

│   │   │   │   ├── CNTR_E7B.TDF

│   │   │   │   ├── CNTR_FA7.TDF

│   │   │   │   ├── CNTR_FP9.TDF

│   │   │   │   ├── CNTR_JKB.TDF

│   │   │   │   ├── CNTR_KBC.TDF

│   │   │   │   ├── CNTR_MUA.TDF

│   │   │   │   ├── CNTR_ODD.TDF

│   │   │   │   ├── CNTR_OUA.TDF

│   │   │   │   ├── CNTR_OV7.TDF

│   │   │   │   ├── CNTR_PD8.TDF

│   │   │   │   ├── CNTR_QD8.TDF

│   │   │   │   ├── CNTR_T98.TDF

│   │   │   │   ├── CNTR_V98.TDF

│   │   │   │   ├── CPU8.(0).cnf.cdb

│   │   │   │   ├── CPU8.(0).cnf.hdb

│   │   │   │   ├── CPU8.(100).cnf.cdb

│   │   │   │   ├── CPU8.(100).cnf.hdb

│   │   │   │   ├── CPU8.(101).cnf.cdb

│   │   │   │   ├── CPU8.(101).cnf.hdb

│   │   │   │   ├── CPU8.(102).cnf.cdb

│   │   │   │   ├── CPU8.(102).cnf.hdb

│   │   │   │   ├── CPU8.(103).cnf.cdb

│   │   │   │   ├── CPU8.(103).cnf.hdb

│   │   │   │   ├── CPU8.(104).cnf.cdb

│   │   │   │   ├── CPU8.(104).cnf.hdb

│   │   │   │   ├── CPU8.(105).cnf.cdb

│   │   │   │   ├── CPU8.(105).cnf.hdb

│   │   │   │   ├── CPU8.(106).cnf.cdb

│   │   │   │   ├── CPU8.(106).cnf.hdb

│   │   │   │   ├── CPU8.(107).cnf.cdb

│   │   │   │   ├── CPU8.(107).cnf.hdb

│   │   │   │   ├── CPU8.(108).cnf.cdb

│   │   │   │   ├── CPU8.(108).cnf.hdb

│   │   │   │   ├── CPU8.(10).cnf.cdb

│   │   │   │   ├── CPU8.(10).cnf.hdb

│   │   │   │   ├── CPU8.(11).cnf.cdb

│   │   │   │   ├── CPU8.(11).cnf.hdb

│   │   │   │   ├── CPU8.(12).cnf.cdb

│   │   │   │   ├── CPU8.(12).cnf.hdb

│   │   │   │   ├── CPU8.(13).cnf.cdb

│   │   │   │   ├── CPU8.(13).cnf.hdb

│   │   │   │   ├── CPU8.(14).cnf.cdb

│   │   │   │   ├── CPU8.(14).cnf.hdb

│   │   │   │   ├── CPU8.(15).cnf.cdb

│   │   │   │   ├── CPU8.(15).cnf.hdb

│   │   │   │   ├── CPU8.(16).cnf.cdb

│   │   │   │   ├── CPU8.(16).cnf.hdb

│   │   │   │   ├── CPU8.(17).cnf.cdb

│   │   │   │   ├── CPU8.(17).cnf.hdb

│   │   │   │   ├── CPU8.(18).cnf.cdb

│   │   │   │   ├── CPU8.(18).cnf.hdb

│   │   │   │   ├── CPU8.(19).cnf.cdb

│   │   │   │   ├── CPU8.(19).cnf.hdb

│   │   │   │   ├── CPU8.(1).cnf.cdb

│   │   │   │   ├── CPU8.(1).cnf.hdb

│   │   │   │   ├── CPU8.(20).cnf.cdb

│   │   │   │   ├── CPU8.(20).cnf.hdb

│   │   │   │   ├── CPU8.(21).cnf.cdb

│   │   │   │   ├── CPU8.(21).cnf.hdb

│   │   │   │   ├── CPU8.(22).cnf.cdb

│   │   │   │   ├── CPU8.(22).cnf.hdb

│   │   │   │   ├── CPU8.(23).cnf.cdb

│   │   │   │   ├── CPU8.(23).cnf.hdb

│   │   │   │   ├── CPU8.(24).cnf.cdb

│   │   │   │   ├── CPU8.(24).cnf.hdb

│   │   │   │   ├── CPU8.(25).cnf.cdb

│   │   │   │   ├── CPU8.(25).cnf.hdb

│   │   │   │   ├── CPU8.(26).cnf.cdb

│   │   │   │   ├── CPU8.(26).cnf.hdb

│   │   │   │   ├── CPU8.(27).cnf.cdb

│   │   │   │   ├── CPU8.(27).cnf.hdb

│   │   │   │   ├── CPU8.(28).cnf.cdb

│   │   │   │   ├── CPU8.(28).cnf.hdb

│   │   │   │   ├── CPU8.(29).cnf.cdb

│   │   │   │   ├── CPU8.(29).cnf.hdb

│   │   │   │   ├── CPU8.(2).cnf.cdb

│   │   │   │   ├── CPU8.(2).cnf.hdb

│   │   │   │   ├── CPU8.(30).cnf.cdb

│   │   │   │   ├── CPU8.(30).cnf.hdb

│   │   │   │   ├── CPU8.(31).cnf.cdb

│   │   │   │   ├── CPU8.(31).cnf.hdb

│   │   │   │   ├── CPU8.(32).cnf.cdb

│   │   │   │   ├── CPU8.(32).cnf.hdb

│   │   │   │   ├── CPU8.(33).cnf.cdb

│   │   │   │   ├── CPU8.(33).cnf.hdb

│   │   │   │   ├── CPU8.(34).cnf.cdb

│   │   │   │   ├── CPU8.(34).cnf.hdb

│   │   │   │   ├── CPU8.(35).cnf.cdb

│   │   │   │   ├── CPU8.(35).cnf.hdb

│   │   │   │   ├── CPU8.(36).cnf.cdb

│   │   │   │   ├── CPU8.(36).cnf.hdb

│   │   │   │   ├── CPU8.(37).cnf.cdb

│   │   │   │   ├── CPU8.(37).cnf.hdb

│   │   │   │   ├── CPU8.(38).cnf.cdb

│   │   │   │   ├── CPU8.(38).cnf.hdb

│   │   │   │   ├── CPU8.(39).cnf.cdb

│   │   │   │   ├── CPU8.(39).cnf.hdb

│   │   │   │   ├── CPU8.(3).cnf.cdb

│   │   │   │   ├── CPU8.(3).cnf.hdb

│   │   │   │   ├── CPU8.(40).cnf.cdb

│   │   │   │   ├── CPU8.(40).cnf.hdb

│   │   │   │   ├── CPU8.(41).cnf.cdb

│   │   │   │   ├── CPU8.(41).cnf.hdb

│   │   │   │   ├── CPU8.(42).cnf.cdb

│   │   │   │   ├── CPU8.(42).cnf.hdb

│   │   │   │   ├── CPU8.(43).cnf.cdb

│   │   │   │   ├── CPU8.(43).cnf.hdb

│   │   │   │   ├── CPU8.(44).cnf.cdb

│   │   │   │   ├── CPU8.(44).cnf.hdb

│   │   │   │   ├── CPU8.(45).cnf.cdb

│   │   │   │   ├── CPU8.(45).cnf.hdb

│   │   │   │   ├── CPU8.(46).cnf.cdb

│   │   │   │   ├── CPU8.(46).cnf.hdb

│   │   │   │   ├── CPU8.(47).cnf.cdb

│   │   │   │   ├── CPU8.(47).cnf.hdb

│   │   │   │   ├── CPU8.(48).cnf.cdb

│   │   │   │   ├── CPU8.(48).cnf.hdb

│   │   │   │   ├── CPU8.(49).cnf.cdb

│   │   │   │   ├── CPU8.(49).cnf.hdb

│   │   │   │   ├── CPU8.(4).cnf.cdb

│   │   │   │   ├── CPU8.(4).cnf.hdb

│   │   │   │   ├── CPU8.(50).cnf.cdb

│   │   │   │   ├── CPU8.(50).cnf.hdb

│   │   │   │   ├── CPU8.(51).cnf.cdb

│   │   │   │   ├── CPU8.(51).cnf.hdb

│   │   │   │   ├── CPU8.(52).cnf.cdb

│   │   │   │   ├── CPU8.(52).cnf.hdb

│   │   │   │   ├── CPU8.(53).cnf.cdb

│   │   │   │   ├── CPU8.(53).cnf.hdb

│   │   │   │   ├── CPU8.(54).cnf.cdb

│   │   │   │   ├── CPU8.(54).cnf.hdb

│   │   │   │   ├── CPU8.(55).cnf.cdb

│   │   │   │   ├── CPU8.(55).cnf.hdb

│   │   │   │   ├── CPU8.(56).cnf.cdb

│   │   │   │   ├── CPU8.(56).cnf.hdb

│   │   │   │   ├── CPU8.(57).cnf.cdb

│   │   │   │   ├── CPU8.(57).cnf.hdb

│   │   │   │   ├── CPU8.(58).cnf.cdb

│   │   │   │   ├── CPU8.(58).cnf.hdb

│   │   │   │   ├── CPU8.(59).cnf.cdb

│   │   │   │   ├── CPU8.(59).cnf.hdb

│   │   │   │   ├── CPU8.(5).cnf.cdb

│   │   │   │   ├── CPU8.(5).cnf.hdb

│   │   │   │   ├── CPU8.(60).cnf.cdb

│   │   │   │   ├── CPU8.(60).cnf.hdb

│   │   │   │   ├── CPU8.(61).cnf.cdb

│   │   │   │   ├── CPU8.(61).cnf.hdb

│   │   │   │   ├── CPU8.(62).cnf.cdb

│   │   │   │   ├── CPU8.(62).cnf.hdb

│   │   │   │   ├── CPU8.(63).cnf.cdb

│   │   │   │   ├── CPU8.(63).cnf.hdb

│   │   │   │   ├── CPU8.(64).cnf.cdb

│   │   │   │   ├── CPU8.(64).cnf.hdb

│   │   │   │   ├── CPU8.(65).cnf.cdb

│   │   │   │   ├── CPU8.(65).cnf.hdb

│   │   │   │   ├── CPU8.(66).cnf.cdb

│   │   │   │   ├── CPU8.(66).cnf.hdb

│   │   │   │   ├── CPU8.(67).cnf.cdb

│   │   │   │   ├── CPU8.(67).cnf.hdb

│   │   │   │   ├── CPU8.(68).cnf.cdb

│   │   │   │   ├── CPU8.(68).cnf.hdb

│   │   │   │   ├── CPU8.(69).cnf.cdb

│   │   │   │   ├── CPU8.(69).cnf.hdb

│   │   │   │   ├── CPU8.(6).cnf.cdb

│   │   │   │   ├── CPU8.(6).cnf.hdb

│   │   │   │   ├── CPU8.(70).cnf.cdb

│   │   │   │   ├── CPU8.(70).cnf.hdb

│   │   │   │   ├── CPU8.(71).cnf.cdb

│   │   │   │   ├── CPU8.(71).cnf.hdb

│   │   │   │   ├── CPU8.(72).cnf.cdb

│   │   │   │   ├── CPU8.(72).cnf.hdb

│   │   │   │   ├── CPU8.(73).cnf.cdb

│   │   │   │   ├── CPU8.(73).cnf.hdb

│   │   │   │   ├── CPU8.(74).cnf.cdb

│   │   │   │   ├── CPU8.(74).cnf.hdb

│   │   │   │   ├── CPU8.(75).cnf.cdb

│   │   │   │   ├── CPU8.(75).cnf.hdb

│   │   │   │   ├── CPU8.(76).cnf.cdb

│   │   │   │   ├── CPU8.(76).cnf.hdb

│   │   │   │   ├── CPU8.(77).cnf.cdb

│   │   │   │   ├── CPU8.(77).cnf.hdb

│   │   │   │   ├── CPU8.(78).cnf.cdb

│   │   │   │   ├── CPU8.(78).cnf.hdb

│   │   │   │   ├── CPU8.(79).cnf.cdb

│   │   │   │   ├── CPU8.(79).cnf.hdb

│   │   │   │   ├── CPU8.(7).cnf.cdb

│   │   │   │   ├── CPU8.(7).cnf.hdb

│   │   │   │   ├── CPU8.(80).cnf.cdb

│   │   │   │   ├── CPU8.(80).cnf.hdb

│   │   │   │   ├── CPU8.(81).cnf.cdb

│   │   │   │   ├── CPU8.(81).cnf.hdb

│   │   │   │   ├── CPU8.(82).cnf.cdb

│   │   │   │   ├── CPU8.(82).cnf.hdb

│   │   │   │   ├── CPU8.(83).cnf.cdb

│   │   │   │   ├── CPU8.(83).cnf.hdb

│   │   │   │   ├── CPU8.(84).cnf.cdb

│   │   │   │   ├── CPU8.(84).cnf.hdb

│   │   │   │   ├── CPU8.(85).cnf.cdb

│   │   │   │   ├── CPU8.(85).cnf.hdb

│   │   │   │   ├── CPU8.(86).cnf.cdb

│   │   │   │   ├── CPU8.(86).cnf.hdb

│   │   │   │   ├── CPU8.(87).cnf.cdb

│   │   │   │   ├── CPU8.(87).cnf.hdb

│   │   │   │   ├── CPU8.(88).cnf.cdb

│   │   │   │   ├── CPU8.(88).cnf.hdb

│   │   │   │   ├── CPU8.(89).cnf.cdb

│   │   │   │   ├── CPU8.(89).cnf.hdb

│   │   │   │   ├── CPU8.(8).cnf.cdb

│   │   │   │   ├── CPU8.(8).cnf.hdb

│   │   │   │   ├── CPU8.(90).cnf.cdb

│   │   │   │   ├── CPU8.(90).cnf.hdb

│   │   │   │   ├── CPU8.(91).cnf.cdb

│   │   │   │   ├── CPU8.(91).cnf.hdb

│   │   │   │   ├── CPU8.(92).cnf.cdb

│   │   │   │   ├── CPU8.(92).cnf.hdb

│   │   │   │   ├── CPU8.(93).cnf.cdb

│   │   │   │   ├── CPU8.(93).cnf.hdb

│   │   │   │   ├── CPU8.(94).cnf.cdb

│   │   │   │   ├── CPU8.(94).cnf.hdb

│   │   │   │   ├── CPU8.(95).cnf.cdb

│   │   │   │   ├── CPU8.(95).cnf.hdb

│   │   │   │   ├── CPU8.(96).cnf.cdb

│   │   │   │   ├── CPU8.(96).cnf.hdb

│   │   │   │   ├── CPU8.(97).cnf.cdb

│   │   │   │   ├── CPU8.(97).cnf.hdb

│   │   │   │   ├── CPU8.(98).cnf.cdb

│   │   │   │   ├── CPU8.(98).cnf.hdb

│   │   │   │   ├── CPU8.(99).cnf.cdb

│   │   │   │   ├── CPU8.(99).cnf.hdb

│   │   │   │   ├── CPU8.(9).cnf.cdb

│   │   │   │   ├── CPU8.(9).cnf.hdb

│   │   │   │   ├── CPU8.asm.qmsg

│   │   │   │   ├── CPU8.cmp.cdb

│   │   │   │   ├── CPU8.cmp.ddb

│   │   │   │   ├── CPU8.cmp.hdb

│   │   │   │   ├── CPU8_cmp.qrpt

│   │   │   │   ├── CPU8.cmp.rdb

│   │   │   │   ├── CPU8.cmp.tdb

│   │   │   │   ├── CPU8.dat_manager.dat

│   │   │   │   ├── CPU8.db_info

│   │   │   │   ├── CPU8.fit.qmsg

│   │   │   │   ├── CPU8.hier_info

│   │   │   │   ├── CPU8.HIF

│   │   │   │   ├── CPU8.ICC

│   │   │   │   ├── CPU8.map.cdb

│   │   │   │   ├── CPU8.map.hdb

│   │   │   │   ├── CPU8.map.qmsg

│   │   │   │   ├── CPU8.pre_map.hdb

│   │   │   │   ├── CPU8.project.hdb

│   │   │   │   ├── CPU8.rtlv.hdb

│   │   │   │   ├── CPU8.rtlv_sg.cdb

│   │   │   │   ├── CPU8.rtlv_sg_swap.cdb

│   │   │   │   ├── CPU8.sgdiff.cdb

│   │   │   │   ├── CPU8.sgdiff.hdb

│   │   │   │   ├── CPU8.signalprobe.cdb

│   │   │   │   ├── CPU8.sld_design_entry_dsc.sci

│   │   │   │   ├── CPU8.sld_design_entry.sci

│   │   │   │   ├── CPU8.syn_hier_info

│   │   │   │   ├── CPU8.tan.qmsg

│   │   │   │   ├── decode_9ie.tdf

│   │   │   │   ├── MUX_4HC.TDF

│   │   │   │   └── MUX_BFC.TDF

│   │   │   ├── decoder2_4.bdf

│   │   │   ├── decoder2_4.bsf

│   │   │   ├── decoder_A.bdf

│   │   │   ├── decoder_A.bsf

│   │   │   ├── decoder_B.bdf

│   │   │   ├── decoder_B.bsf

│   │   │   ├── decoder_C.bdf

│   │   │   ├── decoder_C.bsf

│   │   │   ├── DFF_8.BDF

│   │   │   ├── DFF_8.BSF

│   │   │   ├── DSP.BDF

│   │   │   ├── DSP.BSF

│   │   │   ├── DSPLAY.BDF

│   │   │   ├── DSPLAY.BSF

│   │   │   ├── DSPLAY.SCF

│   │   │   ├── DSPLAY.TTF

│   │   │   ├── DSP.SCF

│   │   │   ├── Fc_z.bdf

│   │   │   ├── Fc_z.bsf

│   │   │   ├── LDR0_2.BDF

│   │   │   ├── LDR0_2.BSF

│   │   │   ├── lpm_bustri0.bsf

│   │   │   ├── lpm_bustri0.vhd

│   │   │   ├── lpm_constant6.bsf

│   │   │   ├── lpm_constant6.vhd

│   │   │   ├── lpm_counter0.bsf

│   │   │   ├── lpm_counter0.vhd

│   │   │   ├── lpm_counter1.bsf

│   │   │   ├── lpm_counter1.vhd

│   │   │   ├── lpm_latch0.bsf

│   │   │   ├── lpm_latch0.vhd

│   │   │   ├── LPM_MUX0.BSF

│   │   │   ├── LPM_MUX0.VHD

│   │   │   ├── lpm_ram_dq0.bsf

│   │   │   ├── lpm_ram_dq0.vhd

│   │   │   ├── LPM_ROM0.BSF

│   │   │   ├── LPM_ROM0.VHD

│   │   │   ├── MODE.BDF

│   │   │   ├── MODE.BSF

│   │   │   ├── PC8.BSF

│   │   │   ├── PC8.VHD

│   │   │   ├── ram_8A.mif

│   │   │   ├── ram_8B.mif

│   │   │   ├── RAM_8.MIF

│   │   │   ├── RAM_A.BDF

│   │   │   ├── README

│   │   │   │   └── 较复杂CPU设计示例readme.txt

│   │   │   ├── REG0_2.BDF

│   │   │   ├── REG0_2.BSF

│   │   │   ├── ROM_8.MIF

│   │   │   ├── serv_req_info.txt

│   │   │   ├── SHEFT.BSF

│   │   │   ├── SHEFT.VHD

│   │   │   ├── SHIFT_1.BDF

│   │   │   ├── SHIFT_1.BSF

│   │   │   ├── STEP4.BSF

│   │   │   ├── STEP4.VQM

│   │   │   ├── STP8.STP

│   │   │   ├── uA_reg.bdf

│   │   │   ├── uA_reg.bsf

│   │   │   ├── uI_C.bdf

│   │   │   └── uI_C.bsf

│   │   ├── 原理实 验 报 告封面.doc

│   │   └── 基本模型机设计与实现.doc

│   ├── 微机实验讲义

│   │   ├── 常用DOS 系统功能调用.doc

│   │   ├── 微机接口实验系统介绍.ppt

│   │   ├── 微机系统及应用实验.ppt

│   │   ├── 汇编语言编写基本格式.ppt

│   │   └── 试验箱系统图.pdf

│   ├── 微机课设

│   │   ├── 2010微机课设要求.ppt

│   │   ├── 2010微机课设讲义.pdf

│   │   ├── 保护模式下的库函数文件

│   │   │   ├── PCI9052Dll.dll

│   │   │   ├── PCI9052Dll.h

│   │   │   └── PCI9052Dll.lib

│   │   ├── 实验示例程序

│   │   │   ├── 8253

│   │   │   │   ├── 8253.cpp

│   │   │   │   ├── 8253.dsp

│   │   │   │   ├── 8253.dsw

│   │   │   │   ├── 8253.ncb

│   │   │   │   ├── 8253.opt

│   │   │   │   ├── 8253.plg

│   │   │   │   ├── Debug

│   │   │   │   │   ├── 8253.obj

│   │   │   │   │   ├── 8253.pdb

│   │   │   │   │   ├── StdAfx.obj

│   │   │   │   │   └── vc60.pdb

│   │   │   │   ├── PCI9052Dll.dll

│   │   │   │   ├── PCI9052Dll.h

│   │   │   │   ├── PCI9052Dll.lib

│   │   │   │   ├── readme.txt

│   │   │   │   ├── StdAfx.cpp

│   │   │   │   └── StdAfx.h

│   │   │   └── 8255

│   │   │   ├── 8255.cpp

│   │   │   ├── 8255.dsp

│   │   │   ├── 8255.dsw

│   │   │   ├── 8255.ncb

│   │   │   ├── 8255.opt

│   │   │   ├── 8255.plg

│   │   │   ├── Debug

│   │   │   │   ├── 8255.obj

│   │   │   │   ├── 8255.pdb

│   │   │   │   ├── StdAfx.obj

│   │   │   │   └── vc60.pdb

│   │   │   ├── PCI9052Dll.dll

│   │   │   ├── PCI9052Dll.h

│   │   │   ├── PCI9052Dll.lib

│   │   │   ├── readme.txt

│   │   │   ├── StdAfx.cpp

│   │   │   └── StdAfx.h

│   │   ├── 微机接口实验系统介绍.ppt

│   │   ├── 微机课程设计实验环境配置.doc

│   │   ├── 附录参考.doc

│   │   └── 驱动程序

│   │   ├── 新版驱动

│   │   │   ├── PCI9052Dll.dll

│   │   │   ├── PCI9052Dll.h

│   │   │   ├── PCI9052Dll.lib

│   │   │   ├── PCI9052.inf

│   │   │   └── PCI9052.sys

│   │   └── 读PCI资源程序

│   │   ├── ISA-PCI.EXE

│   │   └── ISA-PCIsetup.exe

│   └── 计算机组成原理实验讲义

│   ├── all2.dat

│   ├── CH4_Expt

│   │   ├── DEMO_410_uC

│   │   │   ├── cmp_state.ini

│   │   │   ├── DB

│   │   │   │   ├── LDR0_2.(0).cnf.cdb

│   │   │   │   ├── LDR0_2.(0).cnf.hdb

│   │   │   │   ├── LDR0_2.(1).cnf.cdb

│   │   │   │   ├── LDR0_2.(1).cnf.hdb

│   │   │   │   ├── LDR0_2.asm.qmsg

│   │   │   │   ├── LDR0_2.cbx.xml

│   │   │   │   ├── LDR0_2.cmp0.ddb

│   │   │   │   ├── LDR0_2.cmp.cdb

│   │   │   │   ├── LDR0_2.cmp.hdb

│   │   │   │   ├── LDR0_2.cmp.kpt

│   │   │   │   ├── LDR0_2.cmp.logdb

│   │   │   │   ├── LDR0_2.cmp.rdb

│   │   │   │   ├── LDR0_2.cmp.tdb

│   │   │   │   ├── LDR0_2.db_info

│   │   │   │   ├── LDR0_2.dbp

│   │   │   │   ├── LDR0_2.eco.cdb

│   │   │   │   ├── LDR0_2.fit.qmsg

│   │   │   │   ├── LDR0_2.hier_info

│   │   │   │   ├── LDR0_2.hif

│   │   │   │   ├── LDR0_2.map.cdb

│   │   │   │   ├── LDR0_2.map.hdb

│   │   │   │   ├── LDR0_2.map.logdb

│   │   │   │   ├── LDR0_2.map.qmsg

│   │   │   │   ├── LDR0_2.pre_map.cdb

│   │   │   │   ├── LDR0_2.pre_map.hdb

│   │   │   │   ├── LDR0_2.psp

│   │   │   │   ├── LDR0_2.rtlv.hdb

│   │   │   │   ├── LDR0_2.rtlv_sg.cdb

│   │   │   │   ├── LDR0_2.rtlv_sg_swap.cdb

│   │   │   │   ├── LDR0_2.sgdiff.cdb

│   │   │   │   ├── LDR0_2.sgdiff.hdb

│   │   │   │   ├── LDR0_2.signalprobe.cdb

│   │   │   │   ├── LDR0_2.sld_design_entry_dsc.sci

│   │   │   │   ├── LDR0_2.sld_design_entry.sci

│   │   │   │   ├── LDR0_2.syn_hier_info

│   │   │   │   ├── LDR0_2.tan.qmsg

│   │   │   │   ├── SE5_1.(0).cnf.cdb

│   │   │   │   ├── SE5_1.(0).cnf.hdb

│   │   │   │   ├── SE5_1.asm.qmsg

│   │   │   │   ├── SE5_1.cbx.xml

│   │   │   │   ├── SE5_1.cmp0.ddb

│   │   │   │   ├── SE5_1.cmp.cdb

│   │   │   │   ├── SE5_1.cmp.hdb

│   │   │   │   ├── SE5_1.cmp.kpt

│   │   │   │   ├── SE5_1.cmp.logdb

│   │   │   │   ├── SE5_1.cmp.rdb

│   │   │   │   ├── SE5_1.cmp.tdb

│   │   │   │   ├── SE5_1.db_info

│   │   │   │   ├── SE5_1.dbp

│   │   │   │   ├── SE5_1.eco.cdb

│   │   │   │   ├── SE5_1.fit.qmsg

│   │   │   │   ├── SE5_1.hier_info

│   │   │   │   ├── SE5_1.hif

│   │   │   │   ├── SE5_1.map.cdb

│   │   │   │   ├── SE5_1.map.hdb

│   │   │   │   ├── SE5_1.map.logdb

│   │   │   │   ├── SE5_1.map.qmsg

│   │   │   │   ├── SE5_1.pre_map.cdb

│   │   │   │   ├── SE5_1.pre_map.hdb

│   │   │   │   ├── SE5_1.psp

│   │   │   │   ├── SE5_1.rtlv.hdb

│   │   │   │   ├── SE5_1.rtlv_sg.cdb

│   │   │   │   ├── SE5_1.rtlv_sg_swap.cdb

│   │   │   │   ├── SE5_1.sgdiff.cdb

│   │   │   │   ├── SE5_1.sgdiff.hdb

│   │   │   │   ├── SE5_1.signalprobe.cdb

│   │   │   │   ├── SE5_1.sld_design_entry_dsc.sci

│   │   │   │   ├── SE5_1.sld_design_entry.sci

│   │   │   │   ├── SE5_1.syn_hier_info

│   │   │   │   ├── SE5_1.tan.qmsg

│   │   │   │   ├── SE6_1.db_info

│   │   │   │   ├── SE6_1.eco.cdb

│   │   │   │   └── SE6_1.sld_design_entry.sci

│   │   │   ├── FITFSTIO.TXT

│   │   │   ├── LDR0_2.ACF

│   │   │   ├── LDR0_2.asm.rpt

│   │   │   ├── LDR0_2_assignment_defaults.qdf

│   │   │   ├── LDR0_2.BDF

│   │   │   ├── LDR0_2.CDF

│   │   │   ├── LDR0_2.CNF

│   │   │   ├── LDR0_2.done

│   │   │   ├── LDR0_2.FIT

│   │   │   ├── LDR0_2.fit.rpt

│   │   │   ├── LDR0_2.fit.smsg

│   │   │   ├── LDR0_2.fit.summary

│   │   │   ├── LDR0_2.flow.rpt

│   │   │   ├── LDR0_2.HIF

│   │   │   ├── LDR0_2.map.rpt

│   │   │   ├── LDR0_2.map.summary

│   │   │   ├── LDR0_2.MMF

│   │   │   ├── LDR0_2.NDB

│   │   │   ├── LDR0_2.PIN

│   │   │   ├── LDR0_2.pof

│   │   │   ├── LDR0_2.QPF

│   │   │   ├── LDR0_2.QSF

│   │   │   ├── LDR0_2.QWS

│   │   │   ├── LDR0_2.SNF

│   │   │   ├── LDR0_2.SOF

│   │   │   ├── LDR0_2.tan.rpt

│   │   │   ├── LDR0_2.tan.summary

│   │   │   ├── ROM_A.ACF

│   │   │   ├── ROM_A.GDF

│   │   │   ├── ROM_A.HIF

│   │   │   ├── ROM_EX7.MIF

│   │   │   ├── SE5_1.ACF

│   │   │   ├── SE5_1.asm.rpt

│   │   │   ├── SE5_1_assignment_defaults.qdf

│   │   │   ├── SE5_1.BDF

│   │   │   ├── SE5_1.CDF

│   │   │   ├── SE5_1.CNF

│   │   │   ├── SE5_1.done

│   │   │   ├── SE5_1.FIT

│   │   │   ├── SE5_1.fit.rpt

│   │   │   ├── SE5_1.fit.smsg

│   │   │   ├── SE5_1.fit.summary

│   │   │   ├── SE5_1.flow.rpt

│   │   │   ├── SE5_1.HIF

│   │   │   ├── SE5_1.map.rpt

│   │   │   ├── SE5_1.map.summary

│   │   │   ├── SE5_1.MMF

│   │   │   ├── SE5_1.NDB

│   │   │   ├── SE5_1.PIN

│   │   │   ├── SE5_1.pof

│   │   │   ├── SE5_1.QPF

│   │   │   ├── SE5_1.QSF

│   │   │   ├── SE5_1.QWS

│   │   │   ├── SE5_1.SNF

│   │   │   ├── SE5_1.SOF

│   │   │   ├── SE5_1.tan.rpt

│   │   │   ├── SE5_1.tan.summary

│   │   │   ├── SE6_1.ACF

│   │   │   ├── SE6_1_assignment_defaults.qdf

│   │   │   ├── SE6_1.BDF

│   │   │   ├── SE6_1.CDF

│   │   │   ├── SE6_1.CNF

│   │   │   ├── SE6_1.done

│   │   │   ├── SE6_1.FIT

│   │   │   ├── SE6_1.HIF

│   │   │   ├── SE6_1.MMF

│   │   │   ├── SE6_1.NDB

│   │   │   ├── SE6_1.PIN

│   │   │   ├── SE6_1.QPF

│   │   │   ├── SE6_1.QSF

│   │   │   ├── SE6_1.QWS

│   │   │   ├── SE6_1.SNF

│   │   │   └── SE6_1.SOF

│   │   ├── DEMO_411_SINGT

│   │   │   ├── cmp_state.ini

│   │   │   ├── dataHEX

│   │   │   │   ├── SDATA.ASM

│   │   │   │   ├── SDATA.BIN

│   │   │   │   ├── SDATA.HEX

│   │   │   │   └── SDATA.LST

│   │   │   ├── DATA_ROM.VHD

│   │   │   ├── DB

│   │   │   │   ├── SINGT.db_info

│   │   │   │   ├── SINGT.eco.cdb

│   │   │   │   ├── SINGT.sld_design_entry.sci

│   │   │   │   └── wed.zsf

│   │   │   ├── GG.MIF

│   │   │   ├── SIM.CFG

│   │   │   ├── SINGT.asm.rpt

│   │   │   ├── SINGT_assignment_defaults.qdf

│   │   │   ├── SINGT.CDF

│   │   │   ├── SINGT.done

│   │   │   ├── SINGT.flow.rpt

│   │   │   ├── SINGT.map.rpt

│   │   │   ├── SINGT.map.summary

│   │   │   ├── SINGT.PIN

│   │   │   ├── SINGT.QPF

│   │   │   ├── SINGT.QSF

│   │   │   ├── SINGT.QWS

│   │   │   ├── SINGT.SOF

│   │   │   ├── SINGT.tan.summary

│   │   │   ├── SINGT.VHD

│   │   │   ├── SINGT.VWF

│   │   │   ├── STP1.STP

│   │   │   └── STP2.STP

│   │   ├── DEMO_42_ALUc

│   │   │   ├── ALU181.ACF

│   │   │   ├── ALU181.HIF

│   │   │   ├── ALU181.MMF

│   │   │   ├── ALU181.SYM

│   │   │   ├── ALU181.VHD

│   │   │   ├── alu_assignment_defaults.qdf

│   │   │   ├── ALU.BDF

│   │   │   ├── ALU.CDF

│   │   │   ├── ALU.CNF

│   │   │   ├── alu.done

│   │   │   ├── ALU.FIT

│   │   │   ├── ALU.GDF

│   │   │   ├── ALU.HIF

│   │   │   ├── ALU.MMF

│   │   │   ├── ALU.NDB

│   │   │   ├── ALU.PIN

│   │   │   ├── ALU.QPF

│   │   │   ├── ALU.QSF

│   │   │   ├── ALU.QWS

│   │   │   ├── ALU.SCF

│   │   │   ├── ALU.SNF

│   │   │   ├── ALU.SOF

│   │   │   ├── cmp_state.ini

│   │   │   ├── CNT4.VHD

│   │   │   ├── DB

│   │   │   │   ├── alu.db_info

│   │   │   │   ├── alu.eco.cdb

│   │   │   │   ├── alu.sim.qmsg

│   │   │   │   └── alu.sld_design_entry.sci

│   │   │   ├── FITFSTIO.TXT

│   │   │   ├── LIB.DLS

│   │   │   └── README

│   │   │   └── ALU_c使用readme.txt

│   │   ├── DEMO_43_shift

│   │   │   ├── 1SHEFT.asm.rpt

│   │   │   ├── 1SHEFT_assignment_defaults.qdf

│   │   │   ├── 1SHEFT.BDF

│   │   │   ├── 1SHEFT.done

│   │   │   ├── 1SHEFT.fit.eqn

│   │   │   ├── 1SHEFT.fit.rpt

│   │   │   ├── 1SHEFT.fit.summary

│   │   │   ├── 1SHEFT.flow.rpt

│   │   │   ├── 1SHEFT.map.eqn

│   │   │   ├── 1SHEFT.map.rpt

│   │   │   ├── 1SHEFT.map.summary

│   │   │   ├── 1SHEFT.PIN

│   │   │   ├── 1SHEFT.POF

│   │   │   ├── 1SHEFT.QPF

│   │   │   ├── 1SHEFT.QSF

│   │   │   ├── 1SHEFT.QWS

│   │   │   ├── 1SHEFT.SOF

│   │   │   ├── 1SHEFT.tan.rpt

│   │   │   ├── 1SHEFT.tan.summary

│   │   │   ├── cmp_state.ini

│   │   │   ├── CNT2.VHD

│   │   │   ├── DB

│   │   │   │   ├── 1SHEFT.(0).cnf.cdb

│   │   │   │   ├── 1SHEFT.(0).cnf.hdb

│   │   │   │   ├── 1SHEFT.(1).cnf.cdb

│   │   │   │   ├── 1SHEFT.(1).cnf.hdb

│   │   │   │   ├── 1SHEFT.(2).cnf.cdb

│   │   │   │   ├── 1SHEFT.(2).cnf.hdb

│   │   │   │   ├── 1SHEFT.asm.qmsg

│   │   │   │   ├── 1SHEFT.cbx.xml

│   │   │   │   ├── 1SHEFT.cmp0.ddb

│   │   │   │   ├── 1SHEFT.cmp.cdb

│   │   │   │   ├── 1SHEFT.cmp.hdb

│   │   │   │   ├── 1SHEFT.cmp.qrpt

│   │   │   │   ├── 1SHEFT_cmp.qrpt

│   │   │   │   ├── 1SHEFT.cmp.rdb

│   │   │   │   ├── 1SHEFT.cmp.tdb

│   │   │   │   ├── 1SHEFT.db_info

│   │   │   │   ├── 1SHEFT.DBP

│   │   │   │   ├── 1SHEFT.eco.cdb

│   │   │   │   ├── 1SHEFT.fit.qmsg

│   │   │   │   ├── 1SHEFT.hier_info

│   │   │   │   ├── 1SHEFT.HIF

│   │   │   │   ├── 1SHEFT.map.cdb

│   │   │   │   ├── 1SHEFT.map.hdb

│   │   │   │   ├── 1SHEFT.map.qmsg

│   │   │   │   ├── 1SHEFT.pre_map.cdb

│   │   │   │   ├── 1SHEFT.pre_map.hdb

│   │   │   │   ├── 1SHEFT.PSP

│   │   │   │   ├── 1SHEFT.rtlv.hdb

│   │   │   │   ├── 1SHEFT.rtlv_sg.cdb

│   │   │   │   ├── 1SHEFT.rtlv_sg_swap.cdb

│   │   │   │   ├── 1SHEFT.sgdiff.cdb

│   │   │   │   ├── 1SHEFT.sgdiff.hdb

│   │   │   │   ├── 1SHEFT.signalprobe.cdb

│   │   │   │   ├── 1SHEFT.sld_design_entry_dsc.sci

│   │   │   │   ├── 1SHEFT.sld_design_entry.sci

│   │   │   │   ├── 1SHEFT.syn_hier_info

│   │   │   │   └── 1SHEFT.tan.qmsg

│   │   │   ├── maxplusii_to_quartus_name_mapping.txt

│   │   │   ├── MFM1992

│   │   │   ├── README

│   │   │   │   └── sfheft使用.txt

│   │   │   ├── SHEFT.VHD

│   │   │   ├── SHIFTER.BSF

│   │   │   └── SHIFTER.VHD

│   │   ├── DEMO_44_ROM

│   │   │   ├── 2LPM_ROM.asm.rpt

│   │   │   ├── 2LPM_ROM_assignment_defaults.qdf

│   │   │   ├── 2LPM_ROM.BDF

│   │   │   ├── 2LPM_ROM.CDF

│   │   │   ├── 2LPM_ROM.done

│   │   │   ├── 2LPM_ROM.fit.eqn

│   │   │   ├── 2LPM_ROM.fit.rpt

│   │   │   ├── 2LPM_ROM.fit.summary

│   │   │   ├── 2LPM_ROM.flow.rpt

│   │   │   ├── 2LPM_ROM.map.eqn

│   │   │   ├── 2LPM_ROM.map.rpt

│   │   │   ├── 2LPM_ROM.map.summary

│   │   │   ├── 2LPM_ROM.PIN

│   │   │   ├── 2LPM_ROM.POF

│   │   │   ├── 2LPM_ROM.QPF

│   │   │   ├── 2LPM_ROM.QSF

│   │   │   ├── 2LPM_ROM.QWS

│   │   │   ├── 2LPM_ROM.sim.rpt

│   │   │   ├── 2LPM_ROM.SOF

│   │   │   ├── 2LPM_ROM.tan.rpt

│   │   │   ├── 2LPM_ROM.tan.summary

│   │   │   ├── 2LPM_ROM.VWF

│   │   │   ├── cmp_state.ini

│   │   │   ├── DB

│   │   │   │   ├── 2LPM_ROM.(0).cnf.cdb

│   │   │   │   ├── 2LPM_ROM.(0).cnf.hdb

│   │   │   │   ├── 2LPM_ROM.(10).cnf.cdb

│   │   │   │   ├── 2LPM_ROM.(10).cnf.hdb

│   │   │   │   ├── 2LPM_ROM.(11).cnf.cdb

│   │   │   │   ├── 2LPM_ROM.(11).cnf.hdb

│   │   │   │   ├── 2LPM_ROM.(12).cnf.cdb

│   │   │   │   ├── 2LPM_ROM.(12).cnf.hdb

│   │   │   │   ├── 2LPM_ROM.(13).cnf.cdb

│   │   │   │   ├── 2LPM_ROM.(13).cnf.hdb

│   │   │   │   ├── 2LPM_ROM.(14).cnf.cdb

│   │   │   │   ├── 2LPM_ROM.(14).cnf.hdb

│   │   │   │   ├── 2LPM_ROM.(15).cnf.cdb

│   │   │   │   ├── 2LPM_ROM.(15).cnf.hdb

│   │   │   │   ├── 2LPM_ROM.(16).cnf.cdb

│   │   │   │   ├── 2LPM_ROM.(16).cnf.hdb

│   │   │   │   ├── 2LPM_ROM.(17).cnf.cdb

│   │   │   │   ├── 2LPM_ROM.(17).cnf.hdb

│   │   │   │   ├── 2LPM_ROM.(18).cnf.cdb

│   │   │   │   ├── 2LPM_ROM.(18).cnf.hdb

│   │   │   │   ├── 2LPM_ROM.(1).cnf.cdb

│   │   │   │   ├── 2LPM_ROM.(1).cnf.hdb

│   │   │   │   ├── 2LPM_ROM.(2).cnf.cdb

│   │   │   │   ├── 2LPM_ROM.(2).cnf.hdb

│   │   │   │   ├── 2LPM_ROM.(3).cnf.cdb

│   │   │   │   ├── 2LPM_ROM.(3).cnf.hdb

│   │   │   │   ├── 2LPM_ROM.(4).cnf.cdb

│   │   │   │   ├── 2LPM_ROM.(4).cnf.hdb

│   │   │   │   ├── 2LPM_ROM.(5).cnf.cdb

│   │   │   │   ├── 2LPM_ROM.(5).cnf.hdb

│   │   │   │   ├── 2LPM_ROM.(6).cnf.cdb

│   │   │   │   ├── 2LPM_ROM.(6).cnf.hdb

│   │   │   │   ├── 2LPM_ROM.(7).cnf.cdb

│   │   │   │   ├── 2LPM_ROM.(7).cnf.hdb

│   │   │   │   ├── 2LPM_ROM.(8).cnf.cdb

│   │   │   │   ├── 2LPM_ROM.(8).cnf.hdb

│   │   │   │   ├── 2LPM_ROM.(9).cnf.cdb

│   │   │   │   ├── 2LPM_ROM.(9).cnf.hdb

│   │   │   │   ├── 2LPM_ROM.asm.qmsg

│   │   │   │   ├── 2LPM_ROM.cbx.xml

│   │   │   │   ├── 2LPM_ROM.cmp0.ddb

│   │   │   │   ├── 2LPM_ROM.cmp.cdb

│   │   │   │   ├── 2LPM_ROM.cmp.hdb

│   │   │   │   ├── 2LPM_ROM.cmp.qrpt

│   │   │   │   ├── 2LPM_ROM.cmp.rdb

│   │   │   │   ├── 2LPM_ROM.cmp.tdb

│   │   │   │   ├── 2LPM_ROM.db_info

│   │   │   │   ├── 2LPM_ROM.DBP

│   │   │   │   ├── 2LPM_ROM.eco.cdb

│   │   │   │   ├── 2LPM_ROM.eds_overflow

│   │   │   │   ├── 2LPM_ROM.fit.qmsg

│   │   │   │   ├── 2LPM_ROM.hier_info

│   │   │   │   ├── 2LPM_ROM.HIF

│   │   │   │   ├── 2LPM_ROM.map.cdb

│   │   │   │   ├── 2LPM_ROM.map.hdb

│   │   │   │   ├── 2LPM_ROM.map.qmsg

│   │   │   │   ├── 2LPM_ROM.pre_map.cdb

│   │   │   │   ├── 2LPM_ROM.pre_map.hdb

│   │   │   │   ├── 2LPM_ROM.PSP

│   │   │   │   ├── 2LPM_ROM.rtlv.hdb

│   │   │   │   ├── 2LPM_ROM.rtlv_sg.cdb

│   │   │   │   ├── 2LPM_ROM.rtlv_sg_swap.cdb

│   │   │   │   ├── 2LPM_ROM.sgdiff.cdb

│   │   │   │   ├── 2LPM_ROM.sgdiff.hdb

│   │   │   │   ├── 2LPM_ROM.signalprobe.cdb

│   │   │   │   ├── 2LPM_ROM.sim.hdb

│   │   │   │   ├── 2LPM_ROM.sim.qmsg

│   │   │   │   ├── 2LPM_ROM.sim.qrpt

│   │   │   │   ├── 2LPM_ROM.sim.rdb

│   │   │   │   ├── 2LPM_ROM.sim.vwf

│   │   │   │   ├── 2LPM_ROM.sld_design_entry_dsc.sci

│   │   │   │   ├── 2LPM_ROM.sld_design_entry.sci

│   │   │   │   ├── 2LPM_ROM.syn_hier_info

│   │   │   │   ├── 2LPM_ROM.tan.qmsg

│   │   │   │   ├── altsyncram_7lu.tdf

│   │   │   │   ├── altsyncram_aiu.tdf

│   │   │   │   ├── altsyncram_dba2.tdf

│   │   │   │   ├── altsyncram_g8a2.tdf

│   │   │   │   └── decode_9ie.tdf

│   │   │   ├── maxplusii_to_quartus_name_mapping.txt

│   │   │   ├── ROM0.BSF

│   │   │   ├── ROM0.VHD

│   │   │   └── ROM_A.MIF

│   │   ├── DEMO_45_RAM

│   │   │   ├── 5_RAM.MIF

│   │   │   ├── cmp_state.ini

│   │   │   ├── DB

│   │   │   │   ├── RAM_DP1.db_info

│   │   │   │   ├── RAM_DP1.eco.cdb

│   │   │   │   └── RAM_DP1.sld_design_entry.sci

│   │   │   ├── FITFSTIO.TXT

│   │   │   ├── RAM_DP1.ACF

│   │   │   ├── RAM_DP1_assignment_defaults.qdf

│   │   │   ├── RAM_DP1.BDF

│   │   │   ├── RAM_DP1.CDF

│   │   │   ├── RAM_DP1.CNF

│   │   │   ├── RAM_DP1.done

│   │   │   ├── RAM_DP1.FIT

│   │   │   ├── RAM_DP1.HIF

│   │   │   ├── RAM_DP1.MIF

│   │   │   ├── RAM_DP1.MMF

│   │   │   ├── RAM_DP1.NDB

│   │   │   ├── RAM_DP1.PIN

│   │   │   ├── RAM_DP1.QPF

│   │   │   ├── RAM_DP1.QSF

│   │   │   ├── RAM_DP1.QWS

│   │   │   ├── RAM_DP1.SNF

│   │   │   ├── RAM_DP1.SOF

│   │   │   ├── RAM_DP.GDF

│   │   │   ├── RAM_DQ0.BSF

│   │   │   └── RAM_DQ0.VHD

│   │   ├── DEMO_46_FIFO

│   │   │   ├── cmp_state.ini

│   │   │   ├── DB

│   │   │   │   ├── FIFO2.db_info

│   │   │   │   ├── FIFO2.eco.cdb

│   │   │   │   ├── FIFO2.sim.qmsg

│   │   │   │   └── FIFO2.sld_design_entry.sci

│   │   │   ├── FIFO2.ACF

│   │   │   ├── FIFO2_assignment_defaults.qdf

│   │   │   ├── FIFO2.BDF

│   │   │   ├── FIFO2.CDF

│   │   │   ├── FIFO2.CNF

│   │   │   ├── FIFO2.done

│   │   │   ├── FIFO2.FIT

│   │   │   ├── FIFO2.HIF

│   │   │   ├── FIFO2.MMF

│   │   │   ├── FIFO2.NDB

│   │   │   ├── FIFO2.PIN

│   │   │   ├── FIFO2.QPF

│   │   │   ├── FIFO2.QSF

│   │   │   ├── FIFO2.QWS

│   │   │   ├── FIFO2.RPT

│   │   │   ├── FIFO2.SCF

│   │   │   ├── FIFO2.SNF

│   │   │   ├── FIFO2.SOF

│   │   │   ├── FIFO3.BSF

│   │   │   ├── FIFO3.VHD

│   │   │   ├── fifo3_wave0.jpg

│   │   │   ├── fifo3_waveforms.html

│   │   │   ├── FIFO.CMP

│   │   │   ├── FIFO.INC

│   │   │   ├── FIFO_inst.vhd

│   │   │   ├── FIFO.SYM

│   │   │   ├── FIFO.VHD

│   │   │   ├── FITFSTIO.TXT

│   │   │   ├── LIB.DLS

│   │   │   ├── LPM_FIFO.INC

│   │   │   ├── LPM_FIFO.SYM

│   │   │   └── LPM_FIFO.VHD

│   │   ├── DEMO_47_SRAM16b

│   │   │   ├── cmp_state.ini

│   │   │   ├── DB

│   │   │   │   ├── CNTR_T89.TDF

│   │   │   │   ├── sram.(0).cnf.cdb

│   │   │   │   ├── sram.(0).cnf.hdb

│   │   │   │   ├── sram.(1).cnf.cdb

│   │   │   │   ├── sram.(1).cnf.hdb

│   │   │   │   ├── sram.(2).cnf.cdb

│   │   │   │   ├── sram.(2).cnf.hdb

│   │   │   │   ├── sram.(3).cnf.cdb

│   │   │   │   ├── sram.(3).cnf.hdb

│   │   │   │   ├── sram.asm.qmsg

│   │   │   │   ├── sram.cbx.xml

│   │   │   │   ├── sram.cmp0.ddb

│   │   │   │   ├── sram.cmp.cdb

│   │   │   │   ├── sram.cmp.hdb

│   │   │   │   ├── sram.cmp.qrpt

│   │   │   │   ├── sram.cmp.rdb

│   │   │   │   ├── sram.cmp.tdb

│   │   │   │   ├── sram.db_info

│   │   │   │   ├── SRAM.DBP

│   │   │   │   ├── sram.eco.cdb

│   │   │   │   ├── sram.fit.qmsg

│   │   │   │   ├── sram.hier_info

│   │   │   │   ├── SRAM.HIF

│   │   │   │   ├── sram.map.cdb

│   │   │   │   ├── sram.map.hdb

│   │   │   │   ├── sram.map.qmsg

│   │   │   │   ├── sram.pre_map.cdb

│   │   │   │   ├── sram.pre_map.hdb

│   │   │   │   ├── SRAM.PSP

│   │   │   │   ├── sram.rtlv.hdb

│   │   │   │   ├── sram.rtlv_sg.cdb

│   │   │   │   ├── sram.rtlv_sg_swap.cdb

│   │   │   │   ├── sram.sgdiff.cdb

│   │   │   │   ├── sram.sgdiff.hdb

│   │   │   │   ├── sram.signalprobe.cdb

│   │   │   │   ├── sram.sld_design_entry_dsc.sci

│   │   │   │   ├── sram.sld_design_entry.sci

│   │   │   │   ├── sram.syn_hier_info

│   │   │   │   └── sram.tan.qmsg

│   │   │   ├── FITFSTIO.TXT

│   │   │   ├── SRAM.ACF

│   │   │   ├── sram.asm.rpt

│   │   │   ├── sram_assignment_defaults.qdf

│   │   │   ├── SRAM.BDF

│   │   │   ├── SRAM.CDF

│   │   │   ├── SRAM.CNF

│   │   │   ├── sram.done

│   │   │   ├── SRAM.FIT

│   │   │   ├── sram.fit.eqn

│   │   │   ├── sram.fit.rpt

│   │   │   ├── sram.fit.summary

│   │   │   ├── sram.flow.rpt

│   │   │   ├── SRAM.HIF

│   │   │   ├── sram.map.eqn

│   │   │   ├── sram.map.rpt

│   │   │   ├── sram.map.summary

│   │   │   ├── SRAM.MMF

│   │   │   ├── SRAM.NDB

│   │   │   ├── SRAM.PIN

│   │   │   ├── SRAM.POF

│   │   │   ├── SRAM.QPF

│   │   │   ├── SRAM.QSF

│   │   │   ├── SRAM.QWS

│   │   │   ├── SRAM.SNF

│   │   │   ├── SRAM.SOF

│   │   │   ├── sram.tan.rpt

│   │   │   └── sram.tan.summary

│   │   ├── DEMO_48_STEP

│   │   │   ├── cmp_state.ini

│   │   │   ├── DB

│   │   │   │   ├── altsyncram_vgb2.tdf

│   │   │   │   ├── CMPR_FNH.TDF

│   │   │   │   ├── CNTR_0ID.TDF

│   │   │   │   ├── CNTR_DHA.TDF

│   │   │   │   ├── CNTR_MBB.TDF

│   │   │   │   ├── CNTR_ULB.TDF

│   │   │   │   ├── CNTR_VCC.TDF

│   │   │   │   ├── decode_9ie.tdf

│   │   │   │   ├── T4.(0).cnf.cdb

│   │   │   │   ├── T4.(0).cnf.hdb

│   │   │   │   ├── T4.(10).cnf.cdb

│   │   │   │   ├── T4.(10).cnf.hdb

│   │   │   │   ├── T4.(11).cnf.cdb

│   │   │   │   ├── T4.(11).cnf.hdb

│   │   │   │   ├── T4.(12).cnf.cdb

│   │   │   │   ├── T4.(12).cnf.hdb

│   │   │   │   ├── T4.(13).cnf.cdb

│   │   │   │   ├── T4.(13).cnf.hdb

│   │   │   │   ├── T4.(14).cnf.cdb

│   │   │   │   ├── T4.(14).cnf.hdb

│   │   │   │   ├── T4.(15).cnf.cdb

│   │   │   │   ├── T4.(15).cnf.hdb

│   │   │   │   ├── T4.(16).cnf.cdb

│   │   │   │   ├── T4.(16).cnf.hdb

│   │   │   │   ├── T4.(17).cnf.cdb

│   │   │   │   ├── T4.(17).cnf.hdb

│   │   │   │   ├── T4.(18).cnf.cdb

│   │   │   │   ├── T4.(18).cnf.hdb

│   │   │   │   ├── T4.(19).cnf.cdb

│   │   │   │   ├── T4.(19).cnf.hdb

│   │   │   │   ├── T4.(1).cnf.cdb

│   │   │   │   ├── T4.(1).cnf.hdb

│   │   │   │   ├── T4.(20).cnf.cdb

│   │   │   │   ├── T4.(20).cnf.hdb

│   │   │   │   ├── T4.(21).cnf.cdb

│   │   │   │   ├── T4.(21).cnf.hdb

│   │   │   │   ├── T4.(22).cnf.cdb

│   │   │   │   ├── T4.(22).cnf.hdb

│   │   │   │   ├── T4.(23).cnf.cdb

│   │   │   │   ├── T4.(23).cnf.hdb

│   │   │   │   ├── T4.(24).cnf.cdb

│   │   │   │   ├── T4.(24).cnf.hdb

│   │   │   │   ├── T4.(25).cnf.cdb

│   │   │   │   ├── T4.(25).cnf.hdb

│   │   │   │   ├── T4.(26).cnf.cdb

│   │   │   │   ├── T4.(26).cnf.hdb

│   │   │   │   ├── T4.(27).cnf.cdb

│   │   │   │   ├── T4.(27).cnf.hdb

│   │   │   │   ├── T4.(28).cnf.cdb

│   │   │   │   ├── T4.(28).cnf.hdb

│   │   │   │   ├── T4.(29).cnf.cdb

│   │   │   │   ├── T4.(29).cnf.hdb

│   │   │   │   ├── T4.(2).cnf.cdb

│   │   │   │   ├── T4.(2).cnf.hdb

│   │   │   │   ├── T4.(30).cnf.cdb

│   │   │   │   ├── T4.(30).cnf.hdb

│   │   │   │   ├── T4.(31).cnf.cdb

│   │   │   │   ├── T4.(31).cnf.hdb

│   │   │   │   ├── T4.(32).cnf.cdb

│   │   │   │   ├── T4.(32).cnf.hdb

│   │   │   │   ├── T4.(33).cnf.cdb

│   │   │   │   ├── T4.(33).cnf.hdb

│   │   │   │   ├── T4.(34).cnf.cdb

│   │   │   │   ├── T4.(34).cnf.hdb

│   │   │   │   ├── T4.(35).cnf.cdb

│   │   │   │   ├── T4.(35).cnf.hdb

│   │   │   │   ├── T4.(36).cnf.cdb

│   │   │   │   ├── T4.(36).cnf.hdb

│   │   │   │   ├── T4.(37).cnf.cdb

│   │   │   │   ├── T4.(37).cnf.hdb

│   │   │   │   ├── T4.(38).cnf.cdb

│   │   │   │   ├── T4.(38).cnf.hdb

│   │   │   │   ├── T4.(39).cnf.cdb

│   │   │   │   ├── T4.(39).cnf.hdb

│   │   │   │   ├── T4.(3).cnf.cdb

│   │   │   │   ├── T4.(3).cnf.hdb

│   │   │   │   ├── T4.(40).cnf.cdb

│   │   │   │   ├── T4.(40).cnf.hdb

│   │   │   │   ├── T4.(41).cnf.cdb

│   │   │   │   ├── T4.(41).cnf.hdb

│   │   │   │   ├── T4.(42).cnf.cdb

│   │   │   │   ├── T4.(42).cnf.hdb

│   │   │   │   ├── T4.(4).cnf.cdb

│   │   │   │   ├── T4.(4).cnf.hdb

│   │   │   │   ├── T4.(5).cnf.cdb

│   │   │   │   ├── T4.(5).cnf.hdb

│   │   │   │   ├── T4.(6).cnf.cdb

│   │   │   │   ├── T4.(6).cnf.hdb

│   │   │   │   ├── T4.(7).cnf.cdb

│   │   │   │   ├── T4.(7).cnf.hdb

│   │   │   │   ├── T4.(8).cnf.cdb

│   │   │   │   ├── T4.(8).cnf.hdb

│   │   │   │   ├── T4.(9).cnf.cdb

│   │   │   │   ├── T4.(9).cnf.hdb

│   │   │   │   ├── T4.asm.qmsg

│   │   │   │   ├── T4.cbx.xml

│   │   │   │   ├── T4.cmp0.ddb

│   │   │   │   ├── T4.cmp.cdb

│   │   │   │   ├── T4.cmp.hdb

│   │   │   │   ├── T4.cmp.qrpt

│   │   │   │   ├── T4.cmp.rdb

│   │   │   │   ├── T4.cmp.tdb

│   │   │   │   ├── T4.db_info

│   │   │   │   ├── T4.DBP

│   │   │   │   ├── T4.eco.cdb

│   │   │   │   ├── T4.eds_overflow

│   │   │   │   ├── T4.fit.qmsg

│   │   │   │   ├── T4.hier_info

│   │   │   │   ├── T4.HIF

│   │   │   │   ├── T4.map.cdb

│   │   │   │   ├── T4.map.hdb

│   │   │   │   ├── T4.map.qmsg

│   │   │   │   ├── T4.pre_map.cdb

│   │   │   │   ├── T4.pre_map.hdb

│   │   │   │   ├── T4.PSP

│   │   │   │   ├── T4.rtlv.hdb

│   │   │   │   ├── T4.rtlv_sg.cdb

│   │   │   │   ├── T4.rtlv_sg_swap.cdb

│   │   │   │   ├── T4.sgdiff.cdb

│   │   │   │   ├── T4.sgdiff.hdb

│   │   │   │   ├── T4.signalprobe.cdb

│   │   │   │   ├── T4.sim.hdb

│   │   │   │   ├── T4.sim.qmsg

│   │   │   │   ├── T4.sim.qrpt

│   │   │   │   ├── T4.sim.rdb

│   │   │   │   ├── T4.sim.vwf

│   │   │   │   ├── T4.sld_design_entry_dsc.sci

│   │   │   │   ├── T4.sld_design_entry.sci

│   │   │   │   ├── T4.syn_hier_info

│   │   │   │   ├── T4.tan.qmsg

│   │   │   │   ├── T5.db_info

│   │   │   │   ├── T5.eco.cdb

│   │   │   │   └── T5.sld_design_entry.sci

│   │   │   ├── FITFSTIO.TXT

│   │   │   ├── T4.ACF

│   │   │   ├── T4.asm.rpt

│   │   │   ├── T4_assignment_defaults.qdf

│   │   │   ├── T4.BDF

│   │   │   ├── T4.CDF

│   │   │   ├── T4.CNF

│   │   │   ├── T4.done

│   │   │   ├── T4.FIT

│   │   │   ├── T4.fit.eqn

│   │   │   ├── T4.fit.rpt

│   │   │   ├── T4.fit.summary

│   │   │   ├── T4.flow.rpt

│   │   │   ├── T4.HEX

│   │   │   ├── T4.HIF

│   │   │   ├── T4.map.eqn

│   │   │   ├── T4.map.rpt

│   │   │   ├── T4.map.summary

│   │   │   ├── T4.MMF

│   │   │   ├── T4.NDB

│   │   │   ├── T4.PIN

│   │   │   ├── T4.POF

│   │   │   ├── T4.QPF

│   │   │   ├── T4.QSF

│   │   │   ├── T4.QWS

│   │   │   ├── T4.SCF

│   │   │   ├── T4.sim.rpt

│   │   │   ├── T4.SNF

│   │   │   ├── T4.SOF

│   │   │   ├── T4.tan.rpt

│   │   │   ├── T4.tan.summary

│   │   │   ├── T4.TTF

│   │   │   ├── T4.VWF

│   │   │   ├── T5.ACF

│   │   │   ├── T5_assignment_defaults.qdf

│   │   │   ├── T5.BDF

│   │   │   ├── T5.CDF

│   │   │   ├── T5.CNF

│   │   │   ├── T5.done

│   │   │   ├── T5.FIT

│   │   │   ├── T5.HEX

│   │   │   ├── T5.HIF

│   │   │   ├── T5.MMF

│   │   │   ├── T5.NDB

│   │   │   ├── T5.PIN

│   │   │   ├── T5.QPF

│   │   │   ├── T5.QSF

│   │   │   ├── T5.QWS

│   │   │   ├── T5.SCF

│   │   │   ├── T5.SNF

│   │   │   ├── T5.SOF

│   │   │   ├── TS5.ACF

│   │   │   ├── TS5.BDF

│   │   │   ├── TS5.CDF

│   │   │   ├── TS5.CNF

│   │   │   ├── ts5.done

│   │   │   ├── TS5.FIT

│   │   │   ├── TS5.HIF

│   │   │   ├── TS5.MMF

│   │   │   ├── TS5.NDB

│   │   │   ├── TS5.PIN

│   │   │   ├── TS5.QPF

│   │   │   ├── TS5.QSF

│   │   │   ├── TS5.QWS

│   │   │   ├── TS5.RPT

│   │   │   ├── TS5.SCF

│   │   │   ├── TS5.SNF

│   │   │   └── TS5.SOF

│   │   ├── DEMO_49_PC_AR

│   │   │   ├── 273.ACF

│   │   │   ├── 273.GDF

│   │   │   ├── 273.HIF

│   │   │   ├── cmp_state.ini

│   │   │   ├── DB

│   │   │   │   ├── PC_UNIT.db_info

│   │   │   │   ├── PC_UNIT.eco.cdb

│   │   │   │   └── PC_UNIT.sld_design_entry.sci

│   │   │   ├── FITFSTIO.TXT

│   │   │   ├── PC_UNIT.ACF

│   │   │   ├── PC_UNIT_assignment_defaults.qdf

│   │   │   ├── PC_UNIT.BDF

│   │   │   ├── PC_UNIT.CDF

│   │   │   ├── PC_UNIT.CNF

│   │   │   ├── PC_UNIT.done

│   │   │   ├── PC_UNIT.FIT

│   │   │   ├── PC_UNIT.HIF

│   │   │   ├── PC_UNIT.MMF

│   │   │   ├── PC_UNIT.NDB

│   │   │   ├── PC_UNIT.PIN

│   │   │   ├── PC_UNIT.QPF

│   │   │   ├── PC_UNIT.QSF

│   │   │   ├── PC_UNIT.QWS

│   │   │   ├── PC_UNIT.SNF

│   │   │   ├── PC_UNIT.SOF

│   │   │   └── PC_UNIT.tan.summary

│   │   ├── 实验2_1 算术逻辑运算实验.pdf

│   │   ├── 实验2_2 带进位算术运算实验.pdf

│   │   ├── 实验2_3 移位运算器实验.pdf

│   │   ├── 实验3_1 FPGA中LPM_ROM配置与读出实验.pdf

│   │   ├── 实验3_2 FPGA中LPM_RAM读写实验.pdf

│   │   ├── 实验3_3 FIFO定制与读写实验.pdf

│   │   ├── 实验3_4 FPGA与外部16位RAM接口实验.pdf

│   │   ├── 实验4_3_1 微指令控制电路实验.pdf

│   │   └── 实验7_1 正弦信号发生器设计.pdf

│   ├── D触发器真值表.doc

│   ├── QuartusⅡ设计举例.doc

│   ├── 实验报告封面.doc

│   ├── 康芯组成原理实验讲义.doc

│   ├── 引脚对照表.JPG

│   ├── 附录(实验仪器介绍).doc

│   └── 面板布局.JPG

├── 组成原理实验(小金刚)

│   ├── 123

│   │   ├── Block1.asm.rpt

│   │   ├── Block1.bdf

│   │   ├── Block1.done

│   │   ├── Block1.dpf

│   │   ├── Block1.fit.rpt

│   │   ├── Block1.fit.smsg

│   │   ├── Block1.fit.summary

│   │   ├── Block1.flow.rpt

│   │   ├── Block1.map.rpt

│   │   ├── Block1.map.summary

│   │   ├── Block1.pin

│   │   ├── Block1.pof

│   │   ├── Block1.qpf

│   │   ├── Block1.qsf

│   │   ├── Block1.qws

│   │   ├── Block1.sof

│   │   ├── Block1.tan.rpt

│   │   ├── Block1.tan.summary

│   │   ├── Block2.bdf

│   │   ├── Block2.flow.rpt

│   │   ├── Block2.map.rpt

│   │   ├── Block2.map.summary

│   │   ├── Block2.qpf

│   │   ├── Block2.qsf

│   │   ├── Block2.qws

│   │   ├── Block3.bdf

│   │   ├── BUXMUX.tdf

│   │   ├── db

│   │   │   ├── Block1.(0).cnf.cdb

│   │   │   ├── Block1.(0).cnf.hdb

│   │   │   ├── Block1.(1).cnf.cdb

│   │   │   ├── Block1.(1).cnf.hdb

│   │   │   ├── Block1.(2).cnf.cdb

│   │   │   ├── Block1.(2).cnf.hdb

│   │   │   ├── Block1.(3).cnf.cdb

│   │   │   ├── Block1.(3).cnf.hdb

│   │   │   ├── Block1.(4).cnf.cdb

│   │   │   ├── Block1.(4).cnf.hdb

│   │   │   ├── Block1.(5).cnf.cdb

│   │   │   ├── Block1.(5).cnf.hdb

│   │   │   ├── Block1.(6).cnf.cdb

│   │   │   ├── Block1.(6).cnf.hdb

│   │   │   ├── Block1.(7).cnf.cdb

│   │   │   ├── Block1.(7).cnf.hdb

│   │   │   ├── Block1.asm.qmsg

│   │   │   ├── Block1.cbx.xml

│   │   │   ├── Block1.cmp0.ddb

│   │   │   ├── Block1.cmp.cdb

│   │   │   ├── Block1.cmp.hdb

│   │   │   ├── Block1.cmp.kpt

│   │   │   ├── Block1.cmp.logdb

│   │   │   ├── Block1.cmp.rdb

│   │   │   ├── Block1.cmp.tdb

│   │   │   ├── Block1.db_info

│   │   │   ├── Block1.dbp

│   │   │   ├── Block1.eco.cdb

│   │   │   ├── Block1.fit.qmsg

│   │   │   ├── Block1.hier_info

│   │   │   ├── Block1.hif

│   │   │   ├── Block1.map.cdb

│   │   │   ├── Block1.map.hdb

│   │   │   ├── Block1.map.logdb

│   │   │   ├── Block1.map.qmsg

│   │   │   ├── Block1.pre_map.cdb

│   │   │   ├── Block1.pre_map.hdb

│   │   │   ├── Block1.psp

│   │   │   ├── Block1.rtlv.hdb

│   │   │   ├── Block1.rtlv_sg.cdb

│   │   │   ├── Block1.rtlv_sg_swap.cdb

│   │   │   ├── Block1.sgdiff.cdb

│   │   │   ├── Block1.sgdiff.hdb

│   │   │   ├── Block1.signalprobe.cdb

│   │   │   ├── Block1.sld_design_entry_dsc.sci

│   │   │   ├── Block1.sld_design_entry.sci

│   │   │   ├── Block1.syn_hier_info

│   │   │   ├── Block1.tan.qmsg

│   │   │   ├── Block2.(0).cnf.cdb

│   │   │   ├── Block2.(0).cnf.hdb

│   │   │   ├── Block2.(1).cnf.cdb

│   │   │   ├── Block2.(1).cnf.hdb

│   │   │   ├── Block2.cbx.xml

│   │   │   ├── Block2.cmp.rdb

│   │   │   ├── Block2.db_info

│   │   │   ├── Block2.eco.cdb

│   │   │   ├── Block2.hif

│   │   │   ├── Block2.map.hdb

│   │   │   ├── Block2.map.qmsg

│   │   │   ├── Block2.sld_design_entry_dsc.sci

│   │   │   ├── Block2.sld_design_entry.sci

│   │   │   ├── cntr_5sf.tdf

│   │   │   └── mux_afc.tdf

│   │   ├── lpm_counter0.bsf

│   │   ├── lpm_counter0.cmp

│   │   ├── lpm_counter0.tdf

│   │   ├── lpm_counter1.bsf

│   │   ├── lpm_counter1.cmp

│   │   ├── lpm_counter1.tdf

│   │   ├── lpm_counter2.bsf

│   │   ├── lpm_counter2.cmp

│   │   ├── lpm_counter2.vhd

│   │   └── PC.bdf

│   ├── CH4_Expt

│   │   ├── DEMO_410_uC

│   │   │   ├── cmp_state.ini

│   │   │   ├── DB

│   │   │   │   ├── LDR0_2.(0).cnf.cdb

│   │   │   │   ├── LDR0_2.(0).cnf.hdb

│   │   │   │   ├── LDR0_2.(1).cnf.cdb

│   │   │   │   ├── LDR0_2.(1).cnf.hdb

│   │   │   │   ├── LDR0_2.asm.qmsg

│   │   │   │   ├── LDR0_2.cbx.xml

│   │   │   │   ├── LDR0_2.cmp0.ddb

│   │   │   │   ├── LDR0_2.cmp.cdb

│   │   │   │   ├── LDR0_2.cmp.hdb

│   │   │   │   ├── LDR0_2.cmp.kpt

│   │   │   │   ├── LDR0_2.cmp.logdb

│   │   │   │   ├── LDR0_2.cmp.rdb

│   │   │   │   ├── LDR0_2.cmp.tdb

│   │   │   │   ├── LDR0_2.db_info

│   │   │   │   ├── LDR0_2.dbp

│   │   │   │   ├── LDR0_2.eco.cdb

│   │   │   │   ├── LDR0_2.fit.qmsg

│   │   │   │   ├── LDR0_2.hier_info

│   │   │   │   ├── LDR0_2.hif

│   │   │   │   ├── LDR0_2.map.cdb

│   │   │   │   ├── LDR0_2.map.hdb

│   │   │   │   ├── LDR0_2.map.logdb

│   │   │   │   ├── LDR0_2.map.qmsg

│   │   │   │   ├── LDR0_2.pre_map.cdb

│   │   │   │   ├── LDR0_2.pre_map.hdb

│   │   │   │   ├── LDR0_2.psp

│   │   │   │   ├── LDR0_2.rtlv.hdb

│   │   │   │   ├── LDR0_2.rtlv_sg.cdb

│   │   │   │   ├── LDR0_2.rtlv_sg_swap.cdb

│   │   │   │   ├── LDR0_2.sgdiff.cdb

│   │   │   │   ├── LDR0_2.sgdiff.hdb

│   │   │   │   ├── LDR0_2.signalprobe.cdb

│   │   │   │   ├── LDR0_2.sld_design_entry_dsc.sci

│   │   │   │   ├── LDR0_2.sld_design_entry.sci

│   │   │   │   ├── LDR0_2.syn_hier_info

│   │   │   │   ├── LDR0_2.tan.qmsg

│   │   │   │   ├── SE5_1.(0).cnf.cdb

│   │   │   │   ├── SE5_1.(0).cnf.hdb

│   │   │   │   ├── SE5_1.asm.qmsg

│   │   │   │   ├── SE5_1.cbx.xml

│   │   │   │   ├── SE5_1.cmp0.ddb

│   │   │   │   ├── SE5_1.cmp.cdb

│   │   │   │   ├── SE5_1.cmp.hdb

│   │   │   │   ├── SE5_1.cmp.kpt

│   │   │   │   ├── SE5_1.cmp.logdb

│   │   │   │   ├── SE5_1.cmp.rdb

│   │   │   │   ├── SE5_1.cmp.tdb

│   │   │   │   ├── SE5_1.db_info

│   │   │   │   ├── SE5_1.dbp

│   │   │   │   ├── SE5_1.eco.cdb

│   │   │   │   ├── SE5_1.fit.qmsg

│   │   │   │   ├── SE5_1.hier_info

│   │   │   │   ├── SE5_1.hif

│   │   │   │   ├── SE5_1.map.cdb

│   │   │   │   ├── SE5_1.map.hdb

│   │   │   │   ├── SE5_1.map.logdb

│   │   │   │   ├── SE5_1.map.qmsg

│   │   │   │   ├── SE5_1.pre_map.cdb

│   │   │   │   ├── SE5_1.pre_map.hdb

│   │   │   │   ├── SE5_1.psp

│   │   │   │   ├── SE5_1.rtlv.hdb

│   │   │   │   ├── SE5_1.rtlv_sg.cdb

│   │   │   │   ├── SE5_1.rtlv_sg_swap.cdb

│   │   │   │   ├── SE5_1.sgdiff.cdb

│   │   │   │   ├── SE5_1.sgdiff.hdb

│   │   │   │   ├── SE5_1.signalprobe.cdb

│   │   │   │   ├── SE5_1.sld_design_entry_dsc.sci

│   │   │   │   ├── SE5_1.sld_design_entry.sci

│   │   │   │   ├── SE5_1.syn_hier_info

│   │   │   │   ├── SE5_1.tan.qmsg

│   │   │   │   ├── SE6_1.db_info

│   │   │   │   ├── SE6_1.eco.cdb

│   │   │   │   └── SE6_1.sld_design_entry.sci

│   │   │   ├── FITFSTIO.TXT

│   │   │   ├── LDR0_2.ACF

│   │   │   ├── LDR0_2.asm.rpt

│   │   │   ├── LDR0_2_assignment_defaults.qdf

│   │   │   ├── LDR0_2.BDF

│   │   │   ├── LDR0_2.CDF

│   │   │   ├── LDR0_2.CNF

│   │   │   ├── LDR0_2.done

│   │   │   ├── LDR0_2.FIT

│   │   │   ├── LDR0_2.fit.rpt

│   │   │   ├── LDR0_2.fit.smsg

│   │   │   ├── LDR0_2.fit.summary

│   │   │   ├── LDR0_2.flow.rpt

│   │   │   ├── LDR0_2.HIF

│   │   │   ├── LDR0_2.map.rpt

│   │   │   ├── LDR0_2.map.summary

│   │   │   ├── LDR0_2.MMF

│   │   │   ├── LDR0_2.NDB

│   │   │   ├── LDR0_2.PIN

│   │   │   ├── LDR0_2.pof

│   │   │   ├── LDR0_2.QPF

│   │   │   ├── LDR0_2.QSF

│   │   │   ├── LDR0_2.QWS

│   │   │   ├── LDR0_2.SNF

│   │   │   ├── LDR0_2.SOF

│   │   │   ├── LDR0_2.tan.rpt

│   │   │   ├── LDR0_2.tan.summary

│   │   │   ├── ROM_A.ACF

│   │   │   ├── ROM_A.GDF

│   │   │   ├── ROM_A.HIF

│   │   │   ├── ROM_EX7.MIF

│   │   │   ├── SE5_1.ACF

│   │   │   ├── SE5_1.asm.rpt

│   │   │   ├── SE5_1_assignment_defaults.qdf

│   │   │   ├── SE5_1.BDF

│   │   │   ├── SE5_1.CDF

│   │   │   ├── SE5_1.CNF

│   │   │   ├── SE5_1.done

│   │   │   ├── SE5_1.FIT

│   │   │   ├── SE5_1.fit.rpt

│   │   │   ├── SE5_1.fit.smsg

│   │   │   ├── SE5_1.fit.summary

│   │   │   ├── SE5_1.flow.rpt

│   │   │   ├── SE5_1.HIF

│   │   │   ├── SE5_1.map.rpt

│   │   │   ├── SE5_1.map.summary

│   │   │   ├── SE5_1.MMF

│   │   │   ├── SE5_1.NDB

│   │   │   ├── SE5_1.PIN

│   │   │   ├── SE5_1.pof

│   │   │   ├── SE5_1.QPF

│   │   │   ├── SE5_1.QSF

│   │   │   ├── SE5_1.QWS

│   │   │   ├── SE5_1.SNF

│   │   │   ├── SE5_1.SOF

│   │   │   ├── SE5_1.tan.rpt

│   │   │   ├── SE5_1.tan.summary

│   │   │   ├── SE6_1.ACF

│   │   │   ├── SE6_1_assignment_defaults.qdf

│   │   │   ├── SE6_1.BDF

│   │   │   ├── SE6_1.CDF

│   │   │   ├── SE6_1.CNF

│   │   │   ├── SE6_1.done

│   │   │   ├── SE6_1.FIT

│   │   │   ├── SE6_1.HIF

│   │   │   ├── SE6_1.MMF

│   │   │   ├── SE6_1.NDB

│   │   │   ├── SE6_1.PIN

│   │   │   ├── SE6_1.QPF

│   │   │   ├── SE6_1.QSF

│   │   │   ├── SE6_1.QWS

│   │   │   ├── SE6_1.SNF

│   │   │   └── SE6_1.SOF

│   │   ├── DEMO_411_SINGT

│   │   │   ├── cmp_state.ini

│   │   │   ├── dataHEX

│   │   │   │   ├── SDATA.ASM

│   │   │   │   ├── SDATA.BIN

│   │   │   │   ├── SDATA.HEX

│   │   │   │   └── SDATA.LST

│   │   │   ├── DATA_ROM.VHD

│   │   │   ├── DB

│   │   │   │   ├── SINGT.db_info

│   │   │   │   ├── SINGT.eco.cdb

│   │   │   │   ├── SINGT.project.hdb

│   │   │   │   ├── SINGT.sld_design_entry.sci

│   │   │   │   └── wed.zsf

│   │   │   ├── GG.MIF

│   │   │   ├── SIM.CFG

│   │   │   ├── SINGT.asm.rpt

│   │   │   ├── SINGT_assignment_defaults.qdf

│   │   │   ├── SINGT.CDF

│   │   │   ├── SINGT.done

│   │   │   ├── SINGT.flow.rpt

│   │   │   ├── SINGT.map.rpt

│   │   │   ├── SINGT.map.summary

│   │   │   ├── SINGT.PIN

│   │   │   ├── SINGT.QPF

│   │   │   ├── SINGT.QSF

│   │   │   ├── SINGT.QWS

│   │   │   ├── SINGT.SOF

│   │   │   ├── SINGT.tan.summary

│   │   │   ├── SINGT.VHD

│   │   │   ├── SINGT.VWF

│   │   │   ├── STP1.STP

│   │   │   └── STP2.STP

│   │   ├── DEMO_41_ALU

│   │   │   ├── ALU181.ACF

│   │   │   ├── ALU181.HIF

│   │   │   ├── ALU181.MMF

│   │   │   ├── ALU181.SYM

│   │   │   ├── ALU181.VHD

│   │   │   ├── alu.asm.rpt

│   │   │   ├── alu_assignment_defaults.qdf

│   │   │   ├── ALU.BDF

│   │   │   ├── ALU.CDF

│   │   │   ├── ALU.CNF

│   │   │   ├── alu.done

│   │   │   ├── alu.dpf

│   │   │   ├── ALU.FIT

│   │   │   ├── alu.fit.rpt

│   │   │   ├── alu.fit.smsg

│   │   │   ├── alu.fit.summary

│   │   │   ├── alu.flow.rpt

│   │   │   ├── ALU.HIF

│   │   │   ├── alu.map.rpt

│   │   │   ├── alu.map.summary

│   │   │   ├── ALU.MMF

│   │   │   ├── ALU.NDB

│   │   │   ├── ALU.PIN

│   │   │   ├── alu.pof

│   │   │   ├── ALU.QPF

│   │   │   ├── ALU.QSF

│   │   │   ├── ALU.QWS

│   │   │   ├── ALU.SCF

│   │   │   ├── alu.sim.rpt

│   │   │   ├── ALU.SNF

│   │   │   ├── ALU.SOF

│   │   │   ├── alu.tan.rpt

│   │   │   ├── alu.tan.summary

│   │   │   ├── alu.vwf

│   │   │   ├── cmp_state.ini

│   │   │   ├── DB

│   │   │   │   ├── alu.(0).cnf.cdb

│   │   │   │   ├── alu.(0).cnf.hdb

│   │   │   │   ├── alu.(1).cnf.cdb

│   │   │   │   ├── alu.(1).cnf.hdb

│   │   │   │   ├── alu.(2).cnf.cdb

│   │   │   │   ├── alu.(2).cnf.hdb

│   │   │   │   ├── alu.(3).cnf.cdb

│   │   │   │   ├── alu.(3).cnf.hdb

│   │   │   │   ├── alu.(4).cnf.cdb

│   │   │   │   ├── alu.(4).cnf.hdb

│   │   │   │   ├── alu.(5).cnf.cdb

│   │   │   │   ├── alu.(5).cnf.hdb

│   │   │   │   ├── alu.(6).cnf.cdb

│   │   │   │   ├── alu.(6).cnf.hdb

│   │   │   │   ├── alu.asm.qmsg

│   │   │   │   ├── alu.cbx.xml

│   │   │   │   ├── alu.cmp0.ddb

│   │   │   │   ├── alu.cmp.cdb

│   │   │   │   ├── alu.cmp.hdb

│   │   │   │   ├── alu.cmp.kpt

│   │   │   │   ├── alu.cmp.logdb

│   │   │   │   ├── alu.cmp.rdb

│   │   │   │   ├── alu.cmp.tdb

│   │   │   │   ├── alu.db_info

│   │   │   │   ├── alu.dbp

│   │   │   │   ├── alu.eco.cdb

│   │   │   │   ├── alu.eds_overflow

│   │   │   │   ├── alu.fit.qmsg

│   │   │   │   ├── alu.hier_info

│   │   │   │   ├── alu.hif

│   │   │   │   ├── alu.map.cdb

│   │   │   │   ├── alu.map.hdb

│   │   │   │   ├── alu.map.logdb

│   │   │   │   ├── alu.map.qmsg

│   │   │   │   ├── alu.pre_map.cdb

│   │   │   │   ├── alu.pre_map.hdb

│   │   │   │   ├── alu.psp

│   │   │   │   ├── alu.rtlv.hdb

│   │   │   │   ├── alu.rtlv_sg.cdb

│   │   │   │   ├── alu.rtlv_sg_swap.cdb

│   │   │   │   ├── alu.sgdiff.cdb

│   │   │   │   ├── alu.sgdiff.hdb

│   │   │   │   ├── alu.signalprobe.cdb

│   │   │   │   ├── alu.sim.hdb

│   │   │   │   ├── alu.sim.qmsg

│   │   │   │   ├── alu.sim.rdb

│   │   │   │   ├── alu.sim.vwf

│   │   │   │   ├── alu.sld_design_entry_dsc.sci

│   │   │   │   ├── alu.sld_design_entry.sci

│   │   │   │   ├── alu.syn_hier_info

│   │   │   │   ├── alu.tan.qmsg

│   │   │   │   ├── cntr_lnc.tdf

│   │   │   │   └── wed.zsf

│   │   │   ├── FITFSTIO.TXT

│   │   │   ├── LIB.DLS

│   │   │   └── Waveform1.vwf

│   │   ├── DEMO_42_ALUc

│   │   │   ├── ALU181.ACF

│   │   │   ├── ALU181.HIF

│   │   │   ├── ALU181.MMF

│   │   │   ├── ALU181.SYM

│   │   │   ├── ALU181.VHD

│   │   │   ├── ALU.asm.rpt

│   │   │   ├── alu_assignment_defaults.qdf

│   │   │   ├── ALU.BDF

│   │   │   ├── ALU.CDF

│   │   │   ├── ALU.CNF

│   │   │   ├── alu.done

│   │   │   ├── ALU.dpf

│   │   │   ├── ALU.FIT

│   │   │   ├── ALU.fit.rpt

│   │   │   ├── ALU.fit.smsg

│   │   │   ├── ALU.fit.summary

│   │   │   ├── alu.flow.rpt

│   │   │   ├── ALU.GDF

│   │   │   ├── ALU.HIF

│   │   │   ├── alu.map.rpt

│   │   │   ├── alu.map.summary

│   │   │   ├── ALU.MMF

│   │   │   ├── ALU.NDB

│   │   │   ├── ALU.PIN

│   │   │   ├── ALU.pof

│   │   │   ├── ALU.qpf

│   │   │   ├── ALU.qsf

│   │   │   ├── ALU.QWS

│   │   │   ├── ALU.SCF

│   │   │   ├── ALU.SNF

│   │   │   ├── ALU.SOF

│   │   │   ├── ALU.tan.rpt

│   │   │   ├── ALU.tan.summary

│   │   │   ├── cmp_state.ini

│   │   │   ├── CNT4.VHD

│   │   │   ├── DB

│   │   │   │   ├── ALU.(0).cnf.cdb

│   │   │   │   ├── ALU.(0).cnf.hdb

│   │   │   │   ├── ALU.(1).cnf.cdb

│   │   │   │   ├── ALU.(1).cnf.hdb

│   │   │   │   ├── ALU.(2).cnf.cdb

│   │   │   │   ├── ALU.(2).cnf.hdb

│   │   │   │   ├── ALU.(3).cnf.cdb

│   │   │   │   ├── ALU.(3).cnf.hdb

│   │   │   │   ├── ALU.asm.qmsg

│   │   │   │   ├── ALU.cbx.xml

│   │   │   │   ├── ALU.cmp0.ddb

│   │   │   │   ├── ALU.cmp.cdb

│   │   │   │   ├── ALU.cmp.hdb

│   │   │   │   ├── ALU.cmp.kpt

│   │   │   │   ├── ALU.cmp.logdb

│   │   │   │   ├── ALU.cmp.rdb

│   │   │   │   ├── ALU.cmp.tdb

│   │   │   │   ├── ALU.db_info

│   │   │   │   ├── ALU.dbp

│   │   │   │   ├── ALU.eco.cdb

│   │   │   │   ├── ALU.fit.qmsg

│   │   │   │   ├── ALU.hier_info

│   │   │   │   ├── ALU.hif

│   │   │   │   ├── ALU.map.cdb

│   │   │   │   ├── ALU.map.hdb

│   │   │   │   ├── ALU.map.logdb

│   │   │   │   ├── ALU.map.qmsg

│   │   │   │   ├── ALU.pre_map.cdb

│   │   │   │   ├── ALU.pre_map.hdb

│   │   │   │   ├── ALU.psp

│   │   │   │   ├── ALU.rtlv.hdb

│   │   │   │   ├── ALU.rtlv_sg.cdb

│   │   │   │   ├── ALU.rtlv_sg_swap.cdb

│   │   │   │   ├── ALU.sgdiff.cdb

│   │   │   │   ├── ALU.sgdiff.hdb

│   │   │   │   ├── ALU.signalprobe.cdb

│   │   │   │   ├── ALU.sld_design_entry_dsc.sci

│   │   │   │   ├── ALU.sld_design_entry.sci

│   │   │   │   ├── ALU.syn_hier_info

│   │   │   │   └── ALU.tan.qmsg

│   │   │   ├── FITFSTIO.TXT

│   │   │   ├── LIB.DLS

│   │   │   └── README

│   │   │   └── ALU_c使用readme.txt

│   │   ├── DEMO_43_shift

│   │   │   ├── 1SHEFT.asm.rpt

│   │   │   ├── 1SHEFT_assignment_defaults.qdf

│   │   │   ├── 1SHEFT.BDF

│   │   │   ├── 1SHEFT.done

│   │   │   ├── 1SHEFT.fit.eqn

│   │   │   ├── 1SHEFT.fit.rpt

│   │   │   ├── 1SHEFT.fit.summary

│   │   │   ├── 1SHEFT.flow.rpt

│   │   │   ├── 1SHEFT.map.eqn

│   │   │   ├── 1SHEFT.map.rpt

│   │   │   ├── 1SHEFT.map.summary

│   │   │   ├── 1SHEFT.PIN

│   │   │   ├── 1SHEFT.POF

│   │   │   ├── 1SHEFT.QPF

│   │   │   ├── 1SHEFT.QSF

│   │   │   ├── 1SHEFT.QWS

│   │   │   ├── 1SHEFT.SOF

│   │   │   ├── 1SHEFT.tan.rpt

│   │   │   ├── 1SHEFT.tan.summary

│   │   │   ├── cmp_state.ini

│   │   │   ├── CNT2.VHD

│   │   │   ├── DB

│   │   │   │   ├── 1SHEFT.(0).cnf.cdb

│   │   │   │   ├── 1SHEFT.(0).cnf.hdb

│   │   │   │   ├── 1SHEFT.(1).cnf.cdb

│   │   │   │   ├── 1SHEFT.(1).cnf.hdb

│   │   │   │   ├── 1SHEFT.(2).cnf.cdb

│   │   │   │   ├── 1SHEFT.(2).cnf.hdb

│   │   │   │   ├── 1SHEFT.asm.qmsg

│   │   │   │   ├── 1SHEFT.cbx.xml

│   │   │   │   ├── 1SHEFT.cmp0.ddb

│   │   │   │   ├── 1SHEFT.cmp.cdb

│   │   │   │   ├── 1SHEFT.cmp.hdb

│   │   │   │   ├── 1SHEFT.cmp.qrpt

│   │   │   │   ├── 1SHEFT_cmp.qrpt

│   │   │   │   ├── 1SHEFT.cmp.rdb

│   │   │   │   ├── 1SHEFT.cmp.tdb

│   │   │   │   ├── 1SHEFT.db_info

│   │   │   │   ├── 1SHEFT.DBP

│   │   │   │   ├── 1SHEFT.eco.cdb

│   │   │   │   ├── 1SHEFT.fit.qmsg

│   │   │   │   ├── 1SHEFT.hier_info

│   │   │   │   ├── 1SHEFT.HIF

│   │   │   │   ├── 1SHEFT.map.cdb

│   │   │   │   ├── 1SHEFT.map.hdb

│   │   │   │   ├── 1SHEFT.map.qmsg

│   │   │   │   ├── 1SHEFT.pre_map.cdb

│   │   │   │   ├── 1SHEFT.pre_map.hdb

│   │   │   │   ├── 1SHEFT.PSP

│   │   │   │   ├── 1SHEFT.rtlv.hdb

│   │   │   │   ├── 1SHEFT.rtlv_sg.cdb

│   │   │   │   ├── 1SHEFT.rtlv_sg_swap.cdb

│   │   │   │   ├── 1SHEFT.sgdiff.cdb

│   │   │   │   ├── 1SHEFT.sgdiff.hdb

│   │   │   │   ├── 1SHEFT.signalprobe.cdb

│   │   │   │   ├── 1SHEFT.sld_design_entry_dsc.sci

│   │   │   │   ├── 1SHEFT.sld_design_entry.sci

│   │   │   │   ├── 1SHEFT.syn_hier_info

│   │   │   │   └── 1SHEFT.tan.qmsg

│   │   │   ├── maxplusii_to_quartus_name_mapping.txt

│   │   │   ├── MFM1992

│   │   │   ├── README

│   │   │   │   └── sfheft使用.txt

│   │   │   ├── SHEFT.VHD

│   │   │   ├── SHIFTER.BSF

│   │   │   └── SHIFTER.VHD

│   │   ├── DEMO_44_ROM

│   │   │   ├── 2LPM_ROM.asm.rpt

│   │   │   ├── 2LPM_ROM_assignment_defaults.qdf

│   │   │   ├── 2LPM_ROM.BDF

│   │   │   ├── 2LPM_ROM.CDF

│   │   │   ├── 2LPM_ROM.done

│   │   │   ├── 2LPM_ROM.fit.eqn

│   │   │   ├── 2LPM_ROM.fit.rpt

│   │   │   ├── 2LPM_ROM.fit.summary

│   │   │   ├── 2LPM_ROM.flow.rpt

│   │   │   ├── 2LPM_ROM.map.eqn

│   │   │   ├── 2LPM_ROM.map.rpt

│   │   │   ├── 2LPM_ROM.map.summary

│   │   │   ├── 2LPM_ROM.PIN

│   │   │   ├── 2LPM_ROM.POF

│   │   │   ├── 2LPM_ROM.QPF

│   │   │   ├── 2LPM_ROM.QSF

│   │   │   ├── 2LPM_ROM.QWS

│   │   │   ├── 2LPM_ROM.sim.rpt

│   │   │   ├── 2LPM_ROM.SOF

│   │   │   ├── 2LPM_ROM.tan.rpt

│   │   │   ├── 2LPM_ROM.tan.summary

│   │   │   ├── 2LPM_ROM.VWF

│   │   │   ├── cmp_state.ini

│   │   │   ├── DB

│   │   │   │   ├── 2LPM_ROM.(0).cnf.cdb

│   │   │   │   ├── 2LPM_ROM.(0).cnf.hdb

│   │   │   │   ├── 2LPM_ROM.(10).cnf.cdb

│   │   │   │   ├── 2LPM_ROM.(10).cnf.hdb

│   │   │   │   ├── 2LPM_ROM.(11).cnf.cdb

│   │   │   │   ├── 2LPM_ROM.(11).cnf.hdb

│   │   │   │   ├── 2LPM_ROM.(12).cnf.cdb

│   │   │   │   ├── 2LPM_ROM.(12).cnf.hdb

│   │   │   │   ├── 2LPM_ROM.(13).cnf.cdb

│   │   │   │   ├── 2LPM_ROM.(13).cnf.hdb

│   │   │   │   ├── 2LPM_ROM.(14).cnf.cdb

│   │   │   │   ├── 2LPM_ROM.(14).cnf.hdb

│   │   │   │   ├── 2LPM_ROM.(15).cnf.cdb

│   │   │   │   ├── 2LPM_ROM.(15).cnf.hdb

│   │   │   │   ├── 2LPM_ROM.(16).cnf.cdb

│   │   │   │   ├── 2LPM_ROM.(16).cnf.hdb

│   │   │   │   ├── 2LPM_ROM.(17).cnf.cdb

│   │   │   │   ├── 2LPM_ROM.(17).cnf.hdb

│   │   │   │   ├── 2LPM_ROM.(18).cnf.cdb

│   │   │   │   ├── 2LPM_ROM.(18).cnf.hdb

│   │   │   │   ├── 2LPM_ROM.(1).cnf.cdb

│   │   │   │   ├── 2LPM_ROM.(1).cnf.hdb

│   │   │   │   ├── 2LPM_ROM.(2).cnf.cdb

│   │   │   │   ├── 2LPM_ROM.(2).cnf.hdb

│   │   │   │   ├── 2LPM_ROM.(3).cnf.cdb

│   │   │   │   ├── 2LPM_ROM.(3).cnf.hdb

│   │   │   │   ├── 2LPM_ROM.(4).cnf.cdb

│   │   │   │   ├── 2LPM_ROM.(4).cnf.hdb

│   │   │   │   ├── 2LPM_ROM.(5).cnf.cdb

│   │   │   │   ├── 2LPM_ROM.(5).cnf.hdb

│   │   │   │   ├── 2LPM_ROM.(6).cnf.cdb

│   │   │   │   ├── 2LPM_ROM.(6).cnf.hdb

│   │   │   │   ├── 2LPM_ROM.(7).cnf.cdb

│   │   │   │   ├── 2LPM_ROM.(7).cnf.hdb

│   │   │   │   ├── 2LPM_ROM.(8).cnf.cdb

│   │   │   │   ├── 2LPM_ROM.(8).cnf.hdb

│   │   │   │   ├── 2LPM_ROM.(9).cnf.cdb

│   │   │   │   ├── 2LPM_ROM.(9).cnf.hdb

│   │   │   │   ├── 2LPM_ROM.asm.qmsg

│   │   │   │   ├── 2LPM_ROM.cbx.xml

│   │   │   │   ├── 2LPM_ROM.cmp0.ddb

│   │   │   │   ├── 2LPM_ROM.cmp.cdb

│   │   │   │   ├── 2LPM_ROM.cmp.hdb

│   │   │   │   ├── 2LPM_ROM.cmp.qrpt

│   │   │   │   ├── 2LPM_ROM.cmp.rdb

│   │   │   │   ├── 2LPM_ROM.cmp.tdb

│   │   │   │   ├── 2LPM_ROM.db_info

│   │   │   │   ├── 2LPM_ROM.DBP

│   │   │   │   ├── 2LPM_ROM.eco.cdb

│   │   │   │   ├── 2LPM_ROM.eds_overflow

│   │   │   │   ├── 2LPM_ROM.fit.qmsg

│   │   │   │   ├── 2LPM_ROM.hier_info

│   │   │   │   ├── 2LPM_ROM.HIF

│   │   │   │   ├── 2LPM_ROM.map.cdb

│   │   │   │   ├── 2LPM_ROM.map.hdb

│   │   │   │   ├── 2LPM_ROM.map.qmsg

│   │   │   │   ├── 2LPM_ROM.pre_map.cdb

│   │   │   │   ├── 2LPM_ROM.pre_map.hdb

│   │   │   │   ├── 2LPM_ROM.PSP

│   │   │   │   ├── 2LPM_ROM.rtlv.hdb

│   │   │   │   ├── 2LPM_ROM.rtlv_sg.cdb

│   │   │   │   ├── 2LPM_ROM.rtlv_sg_swap.cdb

│   │   │   │   ├── 2LPM_ROM.sgdiff.cdb

│   │   │   │   ├── 2LPM_ROM.sgdiff.hdb

│   │   │   │   ├── 2LPM_ROM.signalprobe.cdb

│   │   │   │   ├── 2LPM_ROM.sim.hdb

│   │   │   │   ├── 2LPM_ROM.sim.qmsg

│   │   │   │   ├── 2LPM_ROM.sim.qrpt

│   │   │   │   ├── 2LPM_ROM.sim.rdb

│   │   │   │   ├── 2LPM_ROM.sim.vwf

│   │   │   │   ├── 2LPM_ROM.sld_design_entry_dsc.sci

│   │   │   │   ├── 2LPM_ROM.sld_design_entry.sci

│   │   │   │   ├── 2LPM_ROM.syn_hier_info

│   │   │   │   ├── 2LPM_ROM.tan.qmsg

│   │   │   │   ├── altsyncram_7lu.tdf

│   │   │   │   ├── altsyncram_aiu.tdf

│   │   │   │   ├── altsyncram_dba2.tdf

│   │   │   │   ├── altsyncram_g8a2.tdf

│   │   │   │   └── decode_9ie.tdf

│   │   │   ├── maxplusii_to_quartus_name_mapping.txt

│   │   │   ├── ROM0.BSF

│   │   │   ├── ROM0.VHD

│   │   │   └── ROM_A.MIF

│   │   ├── DEMO_45_RAM

│   │   │   ├── 5_RAM.MIF

│   │   │   ├── cmp_state.ini

│   │   │   ├── Control.bdf

│   │   │   ├── DB

│   │   │   │   ├── RAM_DP1.db_info

│   │   │   │   ├── RAM_DP1.eco.cdb

│   │   │   │   └── RAM_DP1.sld_design_entry.sci

│   │   │   ├── FITFSTIO.TXT

│   │   │   ├── lpm_mux0.bsf

│   │   │   ├── lpm_mux0.cmp

│   │   │   ├── lpm_mux0.tdf

│   │   │   ├── RAM_DP1.ACF

│   │   │   ├── RAM_DP1_assignment_defaults.qdf

│   │   │   ├── RAM_DP1.BDF

│   │   │   ├── RAM_DP1.CDF

│   │   │   ├── RAM_DP1.CNF

│   │   │   ├── RAM_DP1.done

│   │   │   ├── RAM_DP1.FIT

│   │   │   ├── RAM_DP1.HIF

│   │   │   ├── RAM_DP1.MIF

│   │   │   ├── RAM_DP1.MMF

│   │   │   ├── RAM_DP1.NDB

│   │   │   ├── RAM_DP1.PIN

│   │   │   ├── RAM_DP1.QPF

│   │   │   ├── RAM_DP1.QSF

│   │   │   ├── RAM_DP1.QWS

│   │   │   ├── RAM_DP1.SNF

│   │   │   ├── RAM_DP1.SOF

│   │   │   ├── RAM_DP.GDF

│   │   │   ├── RAM_DQ0.BSF

│   │   │   └── RAM_DQ0.VHD

│   │   ├── DEMO_46_FIFO

│   │   │   ├── cmp_state.ini

│   │   │   ├── DB

│   │   │   │   ├── FIFO2.db_info

│   │   │   │   ├── FIFO2.eco.cdb

│   │   │   │   ├── FIFO2.sim.qmsg

│   │   │   │   └── FIFO2.sld_design_entry.sci

│   │   │   ├── FIFO2.ACF

│   │   │   ├── FIFO2_assignment_defaults.qdf

│   │   │   ├── FIFO2.BDF

│   │   │   ├── FIFO2.CDF

│   │   │   ├── FIFO2.CNF

│   │   │   ├── FIFO2.done

│   │   │   ├── FIFO2.FIT

│   │   │   ├── FIFO2.HIF

│   │   │   ├── FIFO2.MMF

│   │   │   ├── FIFO2.NDB

│   │   │   ├── FIFO2.PIN

│   │   │   ├── FIFO2.QPF

│   │   │   ├── FIFO2.QSF

│   │   │   ├── FIFO2.QWS

│   │   │   ├── FIFO2.RPT

│   │   │   ├── FIFO2.SCF

│   │   │   ├── FIFO2.SNF

│   │   │   ├── FIFO2.SOF

│   │   │   ├── FIFO3.BSF

│   │   │   ├── FIFO3.VHD

│   │   │   ├── fifo3_wave0.jpg

│   │   │   ├── fifo3_waveforms.html

│   │   │   ├── FIFO.CMP

│   │   │   ├── FIFO.INC

│   │   │   ├── FIFO_inst.vhd

│   │   │   ├── FIFO.SYM

│   │   │   ├── FIFO.VHD

│   │   │   ├── FITFSTIO.TXT

│   │   │   ├── LIB.DLS

│   │   │   ├── LPM_FIFO.INC

│   │   │   ├── LPM_FIFO.SYM

│   │   │   └── LPM_FIFO.VHD

│   │   ├── DEMO_47_SRAM16b

│   │   │   ├── cmp_state.ini

│   │   │   ├── DB

│   │   │   │   ├── CNTR_T89.TDF

│   │   │   │   ├── sram.(0).cnf.cdb

│   │   │   │   ├── sram.(0).cnf.hdb

│   │   │   │   ├── sram.(1).cnf.cdb

│   │   │   │   ├── sram.(1).cnf.hdb

│   │   │   │   ├── sram.(2).cnf.cdb

│   │   │   │   ├── sram.(2).cnf.hdb

│   │   │   │   ├── sram.(3).cnf.cdb

│   │   │   │   ├── sram.(3).cnf.hdb

│   │   │   │   ├── sram.asm.qmsg

│   │   │   │   ├── sram.cbx.xml

│   │   │   │   ├── sram.cmp0.ddb

│   │   │   │   ├── sram.cmp.cdb

│   │   │   │   ├── sram.cmp.hdb

│   │   │   │   ├── sram.cmp.qrpt

│   │   │   │   ├── sram.cmp.rdb

│   │   │   │   ├── sram.cmp.tdb

│   │   │   │   ├── sram.db_info

│   │   │   │   ├── SRAM.DBP

│   │   │   │   ├── sram.eco.cdb

│   │   │   │   ├── sram.fit.qmsg

│   │   │   │   ├── sram.hier_info

│   │   │   │   ├── SRAM.HIF

│   │   │   │   ├── sram.map.cdb

│   │   │   │   ├── sram.map.hdb

│   │   │   │   ├── sram.map.qmsg

│   │   │   │   ├── sram.pre_map.cdb

│   │   │   │   ├── sram.pre_map.hdb

│   │   │   │   ├── SRAM.PSP

│   │   │   │   ├── sram.rtlv.hdb

│   │   │   │   ├── sram.rtlv_sg.cdb

│   │   │   │   ├── sram.rtlv_sg_swap.cdb

│   │   │   │   ├── sram.sgdiff.cdb

│   │   │   │   ├── sram.sgdiff.hdb

│   │   │   │   ├── sram.signalprobe.cdb

│   │   │   │   ├── sram.sld_design_entry_dsc.sci

│   │   │   │   ├── sram.sld_design_entry.sci

│   │   │   │   ├── sram.syn_hier_info

│   │   │   │   └── sram.tan.qmsg

│   │   │   ├── FITFSTIO.TXT

│   │   │   ├── SRAM.ACF

│   │   │   ├── sram.asm.rpt

│   │   │   ├── sram_assignment_defaults.qdf

│   │   │   ├── SRAM.BDF

│   │   │   ├── SRAM.CDF

│   │   │   ├── SRAM.CNF

│   │   │   ├── sram.done

│   │   │   ├── SRAM.FIT

│   │   │   ├── sram.fit.eqn

│   │   │   ├── sram.fit.rpt

│   │   │   ├── sram.fit.summary

│   │   │   ├── sram.flow.rpt

│   │   │   ├── SRAM.HIF

│   │   │   ├── sram.map.eqn

│   │   │   ├── sram.map.rpt

│   │   │   ├── sram.map.summary

│   │   │   ├── SRAM.MMF

│   │   │   ├── SRAM.NDB

│   │   │   ├── SRAM.PIN

│   │   │   ├── SRAM.POF

│   │   │   ├── SRAM.QPF

│   │   │   ├── SRAM.QSF

│   │   │   ├── SRAM.QWS

│   │   │   ├── SRAM.SNF

│   │   │   ├── SRAM.SOF

│   │   │   ├── sram.tan.rpt

│   │   │   └── sram.tan.summary

│   │   ├── DEMO_48_STEP

│   │   │   ├── cmp_state.ini

│   │   │   ├── DB

│   │   │   │   ├── CMPR_FNH.TDF

│   │   │   │   ├── CNTR_0ID.TDF

│   │   │   │   ├── CNTR_DHA.TDF

│   │   │   │   ├── CNTR_MBB.TDF

│   │   │   │   ├── CNTR_ULB.TDF

│   │   │   │   ├── CNTR_VCC.TDF

│   │   │   │   ├── T4.(0).cnf.cdb

│   │   │   │   ├── T4.(0).cnf.hdb

│   │   │   │   ├── T4.(10).cnf.cdb

│   │   │   │   ├── T4.(10).cnf.hdb

│   │   │   │   ├── T4.(11).cnf.cdb

│   │   │   │   ├── T4.(11).cnf.hdb

│   │   │   │   ├── T4.(12).cnf.cdb

│   │   │   │   ├── T4.(12).cnf.hdb

│   │   │   │   ├── T4.(13).cnf.cdb

│   │   │   │   ├── T4.(13).cnf.hdb

│   │   │   │   ├── T4.(14).cnf.cdb

│   │   │   │   ├── T4.(14).cnf.hdb

│   │   │   │   ├── T4.(15).cnf.cdb

│   │   │   │   ├── T4.(15).cnf.hdb

│   │   │   │   ├── T4.(16).cnf.cdb

│   │   │   │   ├── T4.(16).cnf.hdb

│   │   │   │   ├── T4.(17).cnf.cdb

│   │   │   │   ├── T4.(17).cnf.hdb

│   │   │   │   ├── T4.(18).cnf.cdb

│   │   │   │   ├── T4.(18).cnf.hdb

│   │   │   │   ├── T4.(19).cnf.cdb

│   │   │   │   ├── T4.(19).cnf.hdb

│   │   │   │   ├── T4.(1).cnf.cdb

│   │   │   │   ├── T4.(1).cnf.hdb

│   │   │   │   ├── T4.(20).cnf.cdb

│   │   │   │   ├── T4.(20).cnf.hdb

│   │   │   │   ├── T4.(21).cnf.cdb

│   │   │   │   ├── T4.(21).cnf.hdb

│   │   │   │   ├── T4.(22).cnf.cdb

│   │   │   │   ├── T4.(22).cnf.hdb

│   │   │   │   ├── T4.(23).cnf.cdb

│   │   │   │   ├── T4.(23).cnf.hdb

│   │   │   │   ├── T4.(24).cnf.cdb

│   │   │   │   ├── T4.(24).cnf.hdb

│   │   │   │   ├── T4.(25).cnf.cdb

│   │   │   │   ├── T4.(25).cnf.hdb

│   │   │   │   ├── T4.(26).cnf.cdb

│   │   │   │   ├── T4.(26).cnf.hdb

│   │   │   │   ├── T4.(27).cnf.cdb

│   │   │   │   ├── T4.(27).cnf.hdb

│   │   │   │   ├── T4.(28).cnf.cdb

│   │   │   │   ├── T4.(28).cnf.hdb

│   │   │   │   ├── T4.(29).cnf.cdb

│   │   │   │   ├── T4.(29).cnf.hdb

│   │   │   │   ├── T4.(2).cnf.cdb

│   │   │   │   ├── T4.(2).cnf.hdb

│   │   │   │   ├── T4.(30).cnf.cdb

│   │   │   │   ├── T4.(30).cnf.hdb

│   │   │   │   ├── T4.(31).cnf.cdb

│   │   │   │   ├── T4.(31).cnf.hdb

│   │   │   │   ├── T4.(32).cnf.cdb

│   │   │   │   ├── T4.(32).cnf.hdb

│   │   │   │   ├── T4.(33).cnf.cdb

│   │   │   │   ├── T4.(33).cnf.hdb

│   │   │   │   ├── T4.(34).cnf.cdb

│   │   │   │   ├── T4.(34).cnf.hdb

│   │   │   │   ├── T4.(35).cnf.cdb

│   │   │   │   ├── T4.(35).cnf.hdb

│   │   │   │   ├── T4.(36).cnf.cdb

│   │   │   │   ├── T4.(36).cnf.hdb

│   │   │   │   ├── T4.(37).cnf.cdb

│   │   │   │   ├── T4.(37).cnf.hdb

│   │   │   │   ├── T4.(38).cnf.cdb

│   │   │   │   ├── T4.(38).cnf.hdb

│   │   │   │   ├── T4.(39).cnf.cdb

│   │   │   │   ├── T4.(39).cnf.hdb

│   │   │   │   ├── T4.(3).cnf.cdb

│   │   │   │   ├── T4.(3).cnf.hdb

│   │   │   │   ├── T4.(40).cnf.cdb

│   │   │   │   ├── T4.(40).cnf.hdb

│   │   │   │   ├── T4.(41).cnf.cdb

│   │   │   │   ├── T4.(41).cnf.hdb

│   │   │   │   ├── T4.(42).cnf.cdb

│   │   │   │   ├── T4.(42).cnf.hdb

│   │   │   │   ├── T4.(4).cnf.cdb

│   │   │   │   ├── T4.(4).cnf.hdb

│   │   │   │   ├── T4.(5).cnf.cdb

│   │   │   │   ├── T4.(5).cnf.hdb

│   │   │   │   ├── T4.(6).cnf.cdb

│   │   │   │   ├── T4.(6).cnf.hdb

│   │   │   │   ├── T4.(7).cnf.cdb

│   │   │   │   ├── T4.(7).cnf.hdb

│   │   │   │   ├── T4.(8).cnf.cdb

│   │   │   │   ├── T4.(8).cnf.hdb

│   │   │   │   ├── T4.(9).cnf.cdb

│   │   │   │   ├── T4.(9).cnf.hdb

│   │   │   │   ├── T4.asm.qmsg

│   │   │   │   ├── T4.cbx.xml

│   │   │   │   ├── T4.cmp0.ddb

│   │   │   │   ├── T4.cmp.cdb

│   │   │   │   ├── T4.cmp.hdb

│   │   │   │   ├── T4.cmp.qrpt

│   │   │   │   ├── T4.cmp.rdb

│   │   │   │   ├── T4.cmp.tdb

│   │   │   │   ├── T4.db_info

│   │   │   │   ├── T4.DBP

│   │   │   │   ├── T4.eco.cdb

│   │   │   │   ├── T4.eds_overflow

│   │   │   │   ├── T4.fit.qmsg

│   │   │   │   ├── T4.hier_info

│   │   │   │   ├── T4.HIF

│   │   │   │   ├── T4.map.cdb

│   │   │   │   ├── T4.map.hdb

│   │   │   │   └── T4.PSP

│   │   │   ├── FITFSTIO.TXT

│   │   │   ├── T4.ACF

│   │   │   ├── T4.asm.rpt

│   │   │   ├── T4_assignment_defaults.qdf

│   │   │   ├── T4.BDF

│   │   │   ├── T4.CDF

│   │   │   ├── T4.CNF

│   │   │   ├── T4.done

│   │   │   ├── T4.FIT

│   │   │   ├── T4.fit.eqn

│   │   │   ├── T4.fit.rpt

│   │   │   ├── T4.fit.summary

│   │   │   ├── T4.flow.rpt

│   │   │   ├── T4.HEX

│   │   │   ├── T4.HIF

│   │   │   ├── T4.map.eqn

│   │   │   ├── T4.map.rpt

│   │   │   ├── T4.map.summary

│   │   │   ├── T4.MMF

│   │   │   ├── T4.NDB

│   │   │   ├── T4.PIN

│   │   │   ├── T4.POF

│   │   │   ├── T4.QPF

│   │   │   ├── T4.QSF

│   │   │   ├── T4.QWS

│   │   │   ├── T4.SCF

│   │   │   ├── T4.sim.rpt

│   │   │   ├── T4.SNF

│   │   │   ├── T4.SOF

│   │   │   ├── T4.tan.rpt

│   │   │   ├── T4.tan.summary

│   │   │   ├── T4.TTF

│   │   │   ├── T4.VWF

│   │   │   ├── T5.ACF

│   │   │   ├── T5_assignment_defaults.qdf

│   │   │   ├── T5.BDF

│   │   │   ├── T5.CDF

│   │   │   ├── T5.CNF

│   │   │   ├── T5.done

│   │   │   ├── T5.FIT

│   │   │   ├── T5.HEX

│   │   │   ├── T5.HIF

│   │   │   ├── T5.MMF

│   │   │   ├── T5.NDB

│   │   │   ├── T5.PIN

│   │   │   ├── T5.QPF

│   │   │   ├── T5.QSF

│   │   │   ├── T5.QWS

│   │   │   ├── T5.SCF

│   │   │   ├── T5.SNF

│   │   │   ├── T5.SOF

│   │   │   ├── TS5.ACF

│   │   │   ├── TS5.BDF

│   │   │   ├── TS5.CDF

│   │   │   ├── TS5.CNF

│   │   │   ├── ts5.done

│   │   │   ├── TS5.FIT

│   │   │   ├── TS5.HIF

│   │   │   ├── TS5.MMF

│   │   │   ├── TS5.NDB

│   │   │   ├── TS5.PIN

│   │   │   ├── TS5.QPF

│   │   │   ├── TS5.QSF

│   │   │   ├── TS5.QWS

│   │   │   ├── TS5.RPT

│   │   │   ├── TS5.SCF

│   │   │   ├── TS5.SNF

│   │   │   └── TS5.SOF

│   │   ├── 实验2_3.pdf

│   │   ├── 实验3_1.pdf

│   │   ├── 实验3_2.pdf

│   │   ├── 实验3_3.pdf

│   │   ├── 实验3_4.pdf

│   │   ├── 实验4_1.pdf

│   │   ├── 实验4_2.pdf

│   │   ├── 实验4_3.pdf

│   │   └── 实验PK3_7_1.pdf

│   ├── D触发器真值表.doc

│   ├── LICENSE解密方法.doc

│   ├── Quartus II  使用简介与设计实例.ppt

│   ├── QuartusⅡ设计举例.doc

│   ├── 信号名与芯片印脚对照表.ppt

│   ├── 原理实验报告封面.doc

│   ├── 实验4_1ALU.ppt

│   ├── 实验4_4ROM.ppt

│   ├── 实验4_5RAM.ppt

│   ├── 实验一 存储器实验.doc

│   ├── 实验三 微控制器实验.ppt

│   ├── 实验二 运算器实验.doc

│   ├── 实验五 原码一位乘算法.ppt

│   ├── 实验四 总线控制实验.ppt

│   ├── 实验报告封面.doc

│   ├── 康芯组成原理实验讲义.doc

│   ├── 引脚对照表.JPG

│   ├── 总线控制器实验说明.doc

│   ├── 我的文档.lnk

│   ├── 第二部分(实验内容).doc

│   ├── 组成原理实验箱说明[1].ppt

│   ├── 组成原理实验讲义V5-3-15.pdf

│   └── 组成原理课程设计讲义(1).doc

├── 计组实验报告(03111086).doc

└── 计组实验报告(03111086).pdf

101 directories, 3846 files

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值