蜂鸣器发出兰花草c语言程序,单片机蜂鸣器发声兰花草音乐

#include

#define uchar unsigned char

sbit beepIO=P2^0;

uchar m,n;

uchar code T[49][2]={{0,0},

{0xF8,0x8B},{0xF8,0xF2},{0xF9,0x5B},{0xF9,0xB7},{0xFA,0x14},

{0xFA,0x66},{0xFA,0xB9},{0xFB,0x03},{0xFB,0x4A},{0xFB,0x8F},

{0xFB,0xCF},{0xFC,0x0B},{0xFC,0x43},{0xFC,0x78},{0xFC,0xAB},

{0xFC,0xDB},{0xFD,0x08},{0xFD,0x33},{0xFD,0x5B},{0xFD,0x81},

{0xFD,0xA5},{0xFD,0xC7},{0xFD,0xE7},{0xFE,0x05},{0xFE,0x21},

{0xFE,0x3C},{0xFE,0x55},{0xFE,0x6D},{0xFE,0x84},{0xFE,0x99},

{0xFE,0xAD},{0xFE,0xC0},{0xFE,0x02},{0xFE,0xE3},{0xFE,0xF3},

{0xFF,0x02},{0xFF,0x10},{0xFF,0x1D},{0xFF,0x2A},{0xFF,0x36},

{0xFF,0x42},{0xFF,0x4C},{0xFF,0x56},{0xFF,0x60},{0xFF,0x69},

{0xFF,0x71},{0xFF,0x79},{0xFF,0x81}};

uchar code music[][2]={{0,4},{11,4},{18,4},{18,4},{18,4},{18,8},{16,4},

{14,6},{16,2},{16,4},{13,4},{11,12},

{22,4},{22,4},{22,4},{22,4},{22,6},{21,12},

{18,4},{21,4},{10,4},{19,4},{18,12},

{18,4},{23,4},{23,4},{21,4},{18,6},{16,12},

{14,4},{16,2},{16,4},{13,4},{11,8},{6,8},

{6,4},{14,4},{14,4},{13,4},{11,6},{18,12},

{16,6},{14,2},{13,4},{9,4},{11,8},{12,12},{10,4},{0xFF,0xFF}};

void delay(uchar p)

{

uchar i,j;

for(;p>0;p--)

for(i=181;i>0;i--)

for(j=181;j>0;j--);

}

void pause()

{

uchar i,j;

for(i=150;i>0;i--)

for(j=150;j>0;j--);

}

void T0_int() interrupt 1

{

beepIO=!beepIO;

TH0=T[m][0]; TL0=T[m][1];

}

void main()

{

uchar i=0;

TMOD=0x01;  EA=1; ET0=1;

while(1)

{

m=music[i][0];n=music[i][1];

if(m==0x00)

{TR0=0;delay(n);i++;}

else if(m==0xFF)

{TR0=0;delay(30);i=0;}

else if(m==music[i+1][0])

{TR0=1;delay(n);TR0=0;pause();i++;}

else

{TR0=1;delay(n);i++;}

}

}

  • 1
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
你可以使用Quartus II编写Verilog代码来控制蜂鸣器兰花草的曲调。首先,你需要在代码中定义兰花草的音符和节拍。然后,使用计时器和状态机来控制蜂鸣器的输出频率和持续时间。 以下是一个简单的示例代码,用于演示如何使用Quartus II和Verilog来实现蜂鸣器兰花草的曲调: ```verilog module Buzzer ( input clk, output reg buzzer ); reg [15:0] counter; reg [3:0] state; parameter C = 16'b0000000000000001; parameter D = 16'b0000000000000010; parameter E = 16'b0000000000000100; parameter F = 16'b0000000000001000; parameter G = 16'b0000000000010000; parameter A = 16'b0000000000100000; parameter B = 16'b0000000001000000; parameter quarter_note = 16'b1111111111111111; parameter half_note = 16'b1111111100000000; always @(posedge clk) begin counter <= counter + 1; case(state) 0: begin if (counter >= quarter_note) begin counter <= 0; state <= 1; end end 1: begin if (counter >= quarter_note) begin counter <= 0; state <= 2; end end 2: begin if (counter >= half_note) begin counter <= 0; state <= 3; end end 3: begin if (counter >= quarter_note) begin counter <= 0; state <= 4; end end // 继续添加更多的音符和节拍 default: state <= 0; endcase case(state) 0: buzzer <= 1'b0; 1: buzzer <= C; 2: buzzer <= D; 3: buzzer <= E; 4: buzzer <= F; // 添加更多的音符 default: buzzer <= 1'b0; endcase end endmodule ``` 请确保将这段代码保存为`.v`文件,并在Quartus II中创建一个新的工程来编译和下载到FPGA设备上。然后,通过将时钟信号连接到`clk`输入端口,并将蜂鸣器连接到`buzzer`输出端口,即可使蜂鸣器按照兰花草的曲调进行演奏
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值