matlab m vec,sim_vecfile_gen.m 源代码在线查看 - matlab在fpga中的应用的三个具体事例 资源下载 虫虫电子下载站...

%---------这个文件用于产生仿真向量文件 filsim.vec----------%% f1=150; %% f2=330; %% fs=1000; %% fir_coef=0.5; %% i=3.1415926; % % dets=1/fs; %% fp=fir_coef*fs/2; % %----------------------------------------------------------------------%clear;fid = fopen('..\Quartus\filter.vec','w');fid_xin=fopen('..\matlab\filter.vec','w');if (fid==-1 | fid_xin==-1) disp('Couldn''t open file filsim.vec for writing');endsim_end_time=54400;g_clk_interval=10;data_in_interval=340;ad_end_interval=170;f1=150;f2=330;fs=1000; fir_coef=0.5;pi=3.1415926;dets=1/fs;fp=fir_coef*fs/2; % 滤波器的通带为: 0---0.25*fs %if f1fp disp('%--------The coef is RIGHT!---------%');end;gausnoise=0.1*randn(1,161);for i=0:160; data_in(i+1)=sin(2*pi*f1*i*dets)+sin(2*pi*f2*i*dets)+gausnoise(i+1); data_in(i+1)=fix(data_in(i+1)*1024); xin(i+1)=data_in(i+1); if (data_in(i+1) < 0) a=dec2bin(abs(data_in(i+1)),12); for k=1:12 if a(k)==dec2bin(1) a(k)=dec2bin(0); else a(k)=dec2bin(1); end; end; data_in(i+1)=bin2dec(a)+1; end; fprintf(fid_xin,'%d \n',xin(i+1)); end;fprintf(fid,'START 0;\n');fprintf(fid,'STOP %d;\n',sim_end_time );fprintf(fid,'RADIX DEC;\n');fprintf(fid,'INTERVAL %d;\n', g_clk_interval);fprintf(fid,'inputs g_clk ;\n');fprintf(fid,'pattern \n');fprintf(fid,'0 1;\n');fprintf(fid,'inputs xin[12..1];\n');fprintf(fid,'pattern \n');fprintf(fid,'0> 0 \n');for i=1:160 fprintf(fid,'%d> %d \n',i*data_in_interval,data_in(i));endfprintf(fid,'%d> %d; \n',161*data_in_interval,data_in(161)); %add ;%fprintf(fid,'inputs clr;\n');fprintf(fid,'pattern \n');fprintf(fid,'0>1;\n');fprintf(fid,'INTERVAL %d;\n', ad_end_interval);fprintf(fid,'inputs ad_end;\n');fprintf(fid,'pattern \n');fprintf(fid,'>0 1;\n');fprintf(fid,'OUTPUTS z[18..1];\n');fclose(fid);fclose(fid_xin);

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值