软件测试图覆盖ppt,测试用例覆盖了所有执行语句.PPT

本文详细介绍了白盒测试方法中的逻辑覆盖法和判定覆盖,包括语句覆盖的概念、优点与不足,以及判定覆盖的定义和目的。通过实例演示了如何设计测试用例来实现这两种覆盖,并讨论了穷举路径测试的局限性。重点在于理解如何设计有效的测试用例以确保软件的逻辑完整性和正确性。
摘要由CSDN通过智能技术生成

测试用例覆盖了所有执行语句

* * * * * * 白盒测试方法 逻辑覆盖法 测试用例 测试用例由测试输入数据以及与之对应的输出结果组成。 测试用例设计的好坏直接决定了测试的效果和结果。所以说在软件测试活动中最关键的步骤就是设计有效的测试用例。 测试用例可以针对黑盒测试设计用例,也可以针对白盒测试设计用例,我们今天开始讲针对白盒测试的用例设计方法。 白盒测试方法 为什么要进行白盒测试? 如果所有软件错误的根源都可以追溯到某个唯一原因,那么问题就简单了。然而,事实上一个bug 常常是由多个因素共同导致的,如下图所示。 假设此时开发工作已结束,程序送交到测试组,没有人知道代码中有一个潜在的被 0 除的错误。若测试组采用的测试用例的执行路径没有同时经过x=0和y=5/x进行测试,显然测试工作似乎非常完善,测试用例覆盖了所有执行语句,也没有被 0 除的错误发生。 白盒测试方法(续) 程序结构分析; 逻辑覆盖; 基本路径测试; 白盒测试根据程序的控制结构设计导出测试用例,主要用于软件程序的验证。 需要全面了解程序内部的逻辑结构,对所有逻辑路径进行测试,是一种穷举路径的测试方法。 穷举路径仍然存在遗憾 穷举路径测试法无法检查出程序本身是否违反了设计规范,即程序是否是一个错误的程序; 穷举路径测试不可能查出程序因为遗漏路径而出错; 穷举路径测试发现不了一些与数据相关的错误; 白盒测试原则 保证一个模块中的所有独立路径至少被测试一次; 所有逻辑值均需测试真(True)和假(False)两种情况; 检查程序的内部数据结构,保证其结构的有效性; 在取值上、下边界,即可操作范围内运行所有循环. 白盒测试方法(续) 白盒测试主要是检查程序的内部结构、逻辑、循环和路径。常用测试用例设计方法有: 逻辑覆盖法(逻辑驱动测试) 基本路径测试方法 覆盖测试 主要是测试覆盖率,以程序内在逻辑结构为基础的测试。包括以下6种类型: 语句覆盖 判定覆盖 条件覆盖 判定-条件覆盖 条件组合覆盖 修正-判定条件覆盖 逻辑覆盖法 int function1(int a,int b,int c) { int x; x=0; if(a&&(b||c)) x=1; return x; } 1、语句覆盖(Statement Coverage) 原理:如果语句中有错误,仅靠观察不执行可能发现不了 在测试时,首先设计若干个测试用例,然后运行被测程序,使程序中的每个可执行语句至少执行一次 若干个 -> 尽量少 语句覆盖、程序段覆盖、程序块覆盖 语句覆盖率 语句覆盖率 已执行的可执行语句占程序中可执行语句总数的百分比 复杂的程序不可能达到语句的完全覆盖 语句覆盖率越高越好 语句覆盖测试用例 达到语句覆盖100%的测试用例 A = 1 B = 1 C = 1 未达到语句覆盖100%的测试用例 A = 0 B = 1 C = 3 语句覆盖的优点 检查所有语句 结构简单的代码的测试效果较好 容易实现自动测试 代码覆盖率高 如果是程序块覆盖,则不涉及程序块中的源代码 语句覆盖不能检查出的错误 逻辑运算(&&、||)错误 判定的第一个运算符“&&”错写成“||”,或第二个运算符“||”错写成“&&”,这时使用上述的测试用例仍然可以达到100%的语句覆盖。 语句覆盖不能检查出的错误 循环语句错误 循环次数错误 跳出循环条件错误 语句覆盖不能检查出的错误 循环语句例子 for(i=0;i<10;i++) { statement; } While(x>3) { statement; } for(i=0;i<=10;i++) { statement; } While(x>3&&x<7) { statement; } 语句覆盖率的问题 能达到很高的语句覆盖率 语句覆盖率看似很高,却有严重缺陷 if(x!=1) { statements; ……; } else { statement; } }99句 }1句 测试用例 x = 2 语句覆盖率99% 50%的分支没有达到 2、判定覆盖(Decision Coverage) 比语句覆盖稍强的覆盖标准是判定覆盖。 判定覆盖的含义是:设计足够多的测试用例,使程序中的每个判定至少都获得一次“真值”或“假值”。 除了双值判定语句外,还有多值判定语句,如case语句,因此判定覆盖更一般的含义是:使得每一个判定获得每一种可能的结果至少一次。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值