Verilog基础四——状态机

1、如何写一个状态机(四段论)
状态空间定义
状态跳转
下个状态判断
各个状态下的动作
2、状态机的结构
组合逻辑:产生下一状态的组合逻辑
时序逻辑:状态寄存器
组合逻辑:产生输出组合逻辑
(时序逻辑:有效的滤除逻辑输出的毛刺&使总线输出信号对其减小偏移)
3、独热码
4、注意
if、else要配对使用
使用case时,当情况不完全时,要加defalt
否则产生锁存器。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值