计算机组成cpu实验报告,计算机组成原理实验单周期CPU设计实验代码以及实验报告(vivado)...

【实例简介】

中山大学数据科学与计算机学院计算机组成原理实验作业代码

【实例截图】

【核心代码】

ECOP-16340290-02

└── ECOP-16340290-02

├── ECOP-16340290-02.pdf

├── ECOP-16340290-张莉斌.doc

├── instruction.txt

├── project_2_singleCPU

│   ├── CPU_single_sim_behav.wcfg

│   ├── hs_err_pid11716.dmp

│   ├── hs_err_pid11716.log

│   ├── instruction.txt

│   ├── project_2_singleCPU.cache

│   │   └── wt

│   │   ├── gui_handlers.wdf

│   │   ├── java_command_handlers.wdf

│   │   ├── project.wpc

│   │   ├── synthesis_details.wdf

│   │   ├── synthesis.wdf

│   │   ├── webtalk_pa.xml

│   │   └── xsim.wdf

│   ├── project_2_singleCPU.hw

│   │   └── project_2_singleCPU.lpr

│   ├── project_2_singleCPU.ip_user_files

│   │   └── README.txt

│   ├── project_2_singleCPU.runs

│   │   ├── impl_1

│   │   │   ├── init_design.pb

│   │   │   ├── opt_design.pb

│   │   │   └── place_design.pb

│   │   └── synth_1

│   │   └── vivado.pb

│   ├── project_2_singleCPU.sim

│   │   └── sim_1

│   │   └── behav

│   │   ├── compile.bat

│   │   ├── compile.sh

│   │   ├── CPU_single_sim_behav.log

│   │   ├── CPU_single_sim_behav.wdb

│   │   ├── CPU_single_sim.prj

│   │   ├── CPU_single_sim.tcl

│   │   ├── xelab.log

│   │   ├── xelab.pb

│   │   ├── xsim

│   │   │   ├── compile.bat

│   │   │   ├── compile.log

│   │   │   ├── CPU_single_sim_behav.wdb

│   │   │   ├── CPU_single_sim.tcl

│   │   │   ├── CPU_single_sim_vlog.prj

│   │   │   ├── elaborate.bat

│   │   │   ├── elaborate.log

│   │   │   ├── glbl.v

│   │   │   ├── simulate.bat

│   │   │   ├── simulate.log

│   │   │   ├── webtalk_10528.backup.jou

│   │   │   ├── webtalk_10528.backup.log

│   │   │   ├── webtalk_11588.backup.jou

│   │   │   ├── webtalk_11588.backup.log

│   │   │   ├── webtalk_12812.backup.jou

│   │   │   ├── webtalk_12812.backup.log

│   │   │   ├── webtalk_12916.backup.jou

│   │   │   ├── webtalk_12916.backup.log

│   │   │   ├── webtalk_4264.backup.jou

│   │   │   ├── webtalk_4264.backup.log

│   │   │   ├── webtalk.jou

│   │   │   ├── webtalk.log

│   │   │   ├── xelab.pb

│   │   │   ├── xsim.dir

│   │   │   │   ├── CPU_single_sim_behav

│   │   │   │   │   ├── Compile_Options.txt

│   │   │   │   │   ├── obj

│   │   │   │   │   │   ├── xsim_0.win64.obj

│   │   │   │   │   │   ├── xsim_1.c

│   │   │   │   │   │   └── xsim_1.win64.obj

│   │   │   │   │   ├── TempBreakPointFile.txt

│   │   │   │   │   ├── webtalk

│   │   │   │   │   │   ├── usage_statistics_ext_xsim.html

│   │   │   │   │   │   ├── usage_statistics_ext_xsim.wdm

│   │   │   │   │   │   ├── usage_statistics_ext_xsim.xml

│   │   │   │   │   │   └── xsim_webtalk.tcl

│   │   │   │   │   ├── xsimcrash.log

│   │   │   │   │   ├── xsim.dbg

│   │   │   │   │   ├── xsimkernel.log

│   │   │   │   │   ├── xsimk.exe

│   │   │   │   │   ├── xsim.mem

│   │   │   │   │   ├── xsim.reloc

│   │   │   │   │   ├── xsim.rlx

│   │   │   │   │   ├── xsim.rtti

│   │   │   │   │   ├── xsimSettings.ini

│   │   │   │   │   ├── xsim.svtype

│   │   │   │   │   ├── xsim.type

│   │   │   │   │   └── xsim.xdbg

│   │   │   │   ├── xil_defaultlib

│   │   │   │   │   ├── @a@l@u.sdb

│   │   │   │   │   ├── @control@unit.sdb

│   │   │   │   │   ├── @c@p@u_single.sdb

│   │   │   │   │   ├── @c@p@u_single_sim.sdb

│   │   │   │   │   ├── @data@memory.sdb

│   │   │   │   │   ├── glbl.sdb

│   │   │   │   │   ├── @instrucion@memory.sdb

│   │   │   │   │   ├── @j@u@m@p.sdb

│   │   │   │   │   ├── @multiplexer32@for4.sdb

│   │   │   │   │   ├── @multiplexer32@for@a.sdb

│   │   │   │   │   ├── @multiplexer32@for@b.sdb

│   │   │   │   │   ├── @multiplexer32@for@d@b.sdb

│   │   │   │   │   ├── @multiplexer5.sdb

│   │   │   │   │   ├── @p@c4.sdb

│   │   │   │   │   ├── @p@c.sdb

│   │   │   │   │   ├── @reg@file.sdb

│   │   │   │   │   ├── @sign@zero@extend.sdb

│   │   │   │   │   └── xil_defaultlib.rlx

│   │   │   │   └── xsim.svtype

│   │   │   ├── xsim.ini

│   │   │   ├── xvlog.log

│   │   │   └── xvlog.pb

│   │   └── xsim.ini

│   ├── project_2_singleCPU.srcs

│   │   ├── sim_1

│   │   │   └── new

│   │   │   └── CPU_single_sim.v

│   │   └── sources_1

│   │   └── new

│   │   ├── 7_SegLED.v

│   │   ├── ALU.v

│   │   ├── ControlUnit.v

│   │   ├── CPU_single.v

│   │   ├── JUMP.v

│   │   ├── Multiplexer32For2.v

│   │   ├── Multiplexer32For4.v

│   │   ├── Multiplexer32ForB.v

│   │   ├── Multiplexer32ForDB.v

│   │   ├── Multiplexer5.v

│   │   ├── PC4.v

│   │   ├── PC.v

│   │   ├── RAM.v

│   │   ├── RegFile.v

│   │   ├── ROM.v

│   │   └── SignZeroExtend.v

│   ├── project_2_singleCPU.xpr

│   ├── vivado_11716.backup.jou

│   ├── vivado_11716.backup.log

│   ├── vivado_12888.backup.jou

│   ├── vivado_12888.backup.log

│   ├── vivado_14280.backup.jou

│   ├── vivado_14280.backup.log

│   ├── vivado_15020.backup.jou

│   ├── vivado_15020.backup.log

│   ├── vivado_6728.backup.jou

│   ├── vivado_6728.backup.log

│   ├── vivado.jou

│   ├── vivado.log

│   └── vivado_pid11716.str

├── 数据通路.PNG

└── 测试程序段.docx

23 directories, 128 files

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值