清华大学计算机系学术委员会,蔡懿慈

教育背景工学学士(半导体物理与器件),清华大学,中国,1983;工学硕士(计算机应用),清华大学,中国,1986;工学博士(计算机应用),中国科学技术大学,中国,2007.学术兼职清华大学计算机系软件与理论研究所:所长(2008-);清华大学计算机系分学术委员会:委员(2008-);Integration,VLSIofJournal:编委(2009-2011);

中文名

蔡懿慈

国    籍

中国职    称

教授

性    别

蔡懿慈个人经历

编辑

语音

姓名:蔡懿慈

教育背景工学学士 (半导体物理与器件), 清华大学, 中国, 1983;

工学硕士 (计算机应用), 清华大学, 中国, 1986;

工学博士 (计算机应用), 中国科学技术大学, 中国, 2007.

学术兼职清华大学计算机系软件与理论研究所: 所长 (2008-);

清华大学计算机系分学术委员会: 委员 (2008-);

Integration, VLSI of Journal: 编委 (2009-2011);

《半导体学报》: 编委 (2009-2011).

研究领域集成电路计算机辅助设计;集成电路;微电子

研究概况我的主要研究兴趣为微电子与计算机交叉领域中的IC设计优化算法与大规模数值计算分析,已经从事EDA领域研究工作20多年。近年来,伴随着Moore定律的发展,我对IC设计中的互连线时延和噪声分析优化、大规模IC供电网络并行分析、低功耗物理设计优化、以及纳米工艺下面向可制造性(DFM)设计优化等一些国际前沿性问题进行了深入的研究。先后参加或主持了国家“核高基”科技重大专项、973、863、国家自然科学基金重大国际合作、国家自然科学基金等多项国际科研或合作项目。 主要工作分为以下三方面:

1. 对IC片上供电网络的分析和优化进行了系统和深入的研究:提出了基于GPU的P/G网络并行分析快速泊松方法,获得DAC 2009最佳论文提名奖,这是大陆学者首次获得该项荣誉;提出了基于三维模型的大规模P/G网络快速分析方法,成果发表在国际IC物理设计年会ISPD 2006及国际期刊Trans. On CAD上;提出了Dcap电容优化与布局结合等一系列P/G网络优化方法,成果发表在国际会议ICCAD 2009及国际期刊Trans. On CAS-II国际期刊上。

2. 对IC低功耗与时序物理设计优化进行了研究:提出了性能驱动的功耗关断物理优化方法,获得以功耗优化为主题的国际年会SGLVLSI 2008最佳论文奖,这是大陆学者首次获得该项荣誉;提出了基于电压岛多供电功耗优化方法、时钟关断功耗优化方法等,成果发表在国际IC物理设计年会ISPD 2008及国际期刊Trans. On VLSI上。

3. 对纳米工艺下工艺参数变化及可制造性(DFM)问题进行深入研究:与Synopsys合作提出了基于区域模型匹配的OPC热点探测方法,获得ICCAD 2006最佳论文提名奖;提出了一系列面向DFM的布线和优化算法,成果发表在国际会议及Trans. On VLSI等国际期刊上。

蔡懿慈研究课题

编辑

语音

国家“核高基”科技重大专项: 先进EDA工具平台开发 (2008-2010);

国家自然科学基金海外青年合作: 考虑工艺参数变化的IC设计优化理论与关键技术 (2009-2010);

国家自然科学基金: 极大规模集成电路片上供电网络仿真及优化 (2008-2010);

国家自然科学基金: 纳米工艺下集成电路自动布线算法研究 (2010-2012).

奖励与荣誉教育部科技进步二等奖——超大规模集成电路物理级优化和验证问题基础研究 (2006);

DAC 2009: 最佳论文提名奖 (2009);

ICCAD2006: 最佳论文提名奖 (2006);

GLVLSI 2008: 最佳论文奖 (2008);

清华大学: 教学优秀奖 (2000).

学术成果[1] Yanni Zhao, Jinian Bian, Shujun Deng, Zhiqiu Kong, Kang Zhao. Constrained Stimulus Generation with Self-adjusting Using Tabu Search with Memory. IEICE Transactions on Fundamentals of Electronics Communications and Computer Sciences. Vol.E92-A, No.12, 3086-3093. 2009.

[2] Junbo Yu, Qiang Zhou, Gang Qu and Jinian Bian, Peak Temperature Reduction by Physical Information Driven Behavioral Synthesis with Resource Usage Allocation, IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, E92-A, (No.12), 3151-3159, 2009.

[3] Kang Zhao, Jinian Bian, Sheqin Dong, Yang Song, Satoshi Goto, Pipeline-Based Partition Exploration for Heterogeneous Multiprocessor Synthesis. IEICE Transactions on Fundamentals of Electronics Communications and Computer Sciences, Vol.E92-A, No.9, 2283-2294, 2009

[4] Kang Zhao, Jinian Bian, Sheqin Dong, Yang Song, Satoshi Goto, “Exploring Partitions Based on Search Space Smoothing for Heterogeneous Multiprocessor System”. IEICE Transactions on Fundamentals of Electronics Communications and Computer Sciences, Val. E91-A, no. 9, 2456-2464, 2008.

[5] Tong, Kun, Bian, Jinian, Wang, Haili, “A cooperative universal data model platform for the data-centric electronic system-level design”, Advanced Engineering informatics, val. 22, no. 3, 296-306, 2008.

[6] Kang Zhao, Jinian Bian, Sheqin Dong, Yang Song, Satoshi Goto, “Fast Custom Instruction Identification Algorithm Based on Basic Convex Pattern Model for Supporting ASIP Automated Design”, IEICE Transactions on Fundamentals of Electronics Communications and Computer Sciences, val. E91-A, no.6, 1478-1483, 2008.

[7] Ming Zhu,Jinian Bian, Weimin Wu: “A novel collaborative scheme of simulation and model checking for system properties verification”, Computers in Industry, special issue: Collaborative Environments for Concurrent Engineering, Elesvier, val.57, no.8-9, 752-757, 2006

[8] Shujun Deng, Jinian Bian, Weimin Wu, Xiaoqing Yang, Yanni Zhao, “EHSAT: An RTL Satisfiability Solver Using an Extended DPLL Procedure”, Proc. 44th Design Automation Conference (DAC’07), San Diego, California, USA, 2007, 588-593

[9] Ou He, Sheqin Dong, Jinian Bian, Satoshi Goto, Chung-Kuan Cheng, “A Novel Fixed-outline Floorplanner with Zero Deadspace for Hierarchical Design”, Proc. The 2008 IEEE/ACM International Conference on Computer-Aided Design, (ICCAD’08), San Jose, CA, USA, 16 – 23, 2008.

[10] Shujun Deng, Zhiqiu Kong, Jinian Bian, Yanni Zhao, “Self-Adjusting Constrained Random Stimulus Generation Using Splitting Evenness Evaluation and XOR Constraints”, Prof. 14th Asia and South Pacific Design Automation Conference, (ASPDAC’09), Yokohama, Japan, 769 – 774, 2009.

[11] Junbo Yu, Qiang Zhou, Jinian Bian, “Peak Temperature Control in Thermal-aware Behavioral Synthesis through Allocating the Number of Resources”, proc. 14th Asia and South Pacific Design Automation Conference (ASPDAC’09), Yokohama, Japan, 85 – 90, 2009.

[12] Junbo Yu, Qiang Zhou, Gang Qu, and Jinian Bian, “Behavioral Level Dual-Vth Design for Reduced Leakage Power with Thermal Awareness”, Proc. The 10th Design, Automation and Test, (DATE’10), Dresden, Germany, 2010. 3. 8-12, pp 1261-1266, 2010

[13] 刘大为, 周强, 边计年. “考虑重叠度和线长的单元密度平滑方法”, 计算机辅助设计与图形学学报, 第22卷第4期, 676-681,688, 2010.

[14] 赵燕妮, 边计年, 邓澍军. “利用SMT约束分解方法求解RTL可满足性问题”, 计算机辅助设计与图形学学报, 第22卷第2期, 234-239, 2010.

[15] 于浚泊, 周强, 边计年. “考虑热效应的资源数量分配算法”, 计算机辅助设计与图形学学报, 第21卷第9期, 2009.

[16] 童琨, 边计年. “片上系统中事务级建模相关研究综述”, 计算机辅助设计与图形学学报, 第19卷第11期, 2007.

[17] 刘志鹏, 边计年, 周强. “高层次综合中面向功耗优化的方法与技术”, 计算机辅助设计与图形学学报, 第19卷第11期19(11), 2007.

[18] 赵康, 边计年, 董社勤. “基于集束式整数线性规划模型的专用指令集自动定制”, 计算机辅助设计与图形学学报, 第19卷第10期, 1229-1234, 2007.

[19] 刘志鹏, 边计年, 周强. “高层次综合中基于整数线性规划模型的多目标功耗优化算法”, 计算机辅助设计与图形学学报, 第19卷第8期, 966-972,2007.

[20] 邓澍军, 吴为民, 边计年. “RTL验证中的混合可满足性求解”, 计算机辅助设计与图形学学报, 第19卷第3期, 273-278,285, 2007.

[21] 边计年, 薛宏熙, 苏明, 吴为民. 数字系统设计自动化. 第2版. 清华大学出版社, 北京, 2005.

[22] 洪先龙, 刘伟平, 边计年. 超大规模集成电路计算机辅助设计技术, 国防工业出版社, 北京, 1998.

百度百科内容由网友共同编辑,如您发现自己的词条内容不准确或不完善,欢迎使用本人词条编辑服务(免费)参与修正。立即前往>>

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值