一位全减器逻辑电路图_半减器逻辑原理图 [全减器]

VerilogHDL 数字设计与综合 实验报告 一位全减器 1.实验目的:

熟悉并练习Verilog语言的数据流级建模。

2.实验内容:

一位全减器输出D(差),B(借位)逻辑表达式:

D = x’y’z + x’yz’ + xy’z’ + xyz; B = x’y + x’z + yz 3.实验结果:

a.Verilog源代码:

module min(D,B,z,x,y); output D,B; input x,y,z; assign D = ((~x) assign B = ((~x) endmodule module stimulus; reg x,y,z; wire D,B; min m1(D,B,z,x,y); initial x = 1 b0; always #40 x = ~x; initial y = 1 b0; always #20 y = ~y; initial z = 1 b0; always #10 z = ~z; initial #1600 $finish; initial $monitor($time,“outD=%d,outB=%d“,D,B); Endmodule b仿真截图:

4.实验结果分析及实验结论:

全减器输出逻辑D和B的表达式并不复杂,照样敲就是了,激励模块用到了ALWAYS语句,用次语句实现输入X,Y,Z值的多次翻转,从而完成所要求的各种逻辑情况。

推荐访问:白小燕

白小燕

白小燕

白小燕

  • 1
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值