linux 添加接口永久,linux – 在/ etc / network / interfaces中永久更改Mac地址

题:

我怎样才能通过/ etc / network / interfaces文件专门更改enp3s0和wlp2s0接口的Mac地址?我必须在里面包含哪些代码?我已经尝试了一段时间,但没有成功.

阐述:

所以我在网上找到了这篇很棒的文章,解释了如何通过我的Ubuntu上的/ etc / network / interfaces文件永久更改Mac地址.

在文章中,它说:

On Debian, Ubuntu, and similar systems, place the following in the

appropriate section of /etc/network/interfaces (within an iface

stanza, e.g., right after the gateway line) so that the MAC address is

set when the network device is started:

hwaddress ether 02:01:02:03:04:08

现在当我使用以下代码时:

cat /etc/network/interfaces

我得到以下输出

# interfaces(5) file used by ifup(8) and ifdown(8)

auto lo

iface lo inet loopback

当我在我的ubuntu上执行ifconfig时,我会回到3个不同的界面:

> enp3s0

> lo

> wlp2s0

我想更改所有接口的mac地址(enp3s0,wlp2s0)(lo是loopback所以不需要),但我不熟悉/ etc / network / interfaces文件中的命令.我一直在网上看教程,虽然我似乎无法把东西弄好,而且我的电脑甚至开始后几次表现得非常奇怪.

解决方法:

在接口配置块中使用hwaddress ether.例:

auto enp3s0

iface enp3s0 inet static

address 192.0.2.7

netmask 255.255.255.0

gateway 192.0.2.254

hwaddress ether 00:11:22:33:44:55

或者,如果是dhcp:

allow-hotplug enp3s0

iface enp3s0 inet dhcp

hwaddress ether 00:11:22:33:44:55

我错过了一个细节:如果要设置静态IP地址,则hwaddress配置项需要在网关节之后.

但是,如果您在通过网络/接口更改mac时遇到问题,则可以通过udev执行此操作

udev方法 – 使用以下内容创建文件etc / udev / rules.d / 75-mac-spoof.rules:

ACTION=="add", SUBSYSTEM=="net", ATTR{address}=="XX:XX:XX:XX:XX:XX", RUN+="/usr/bin/ip link set dev %k address YY:YY:YY:YY:YY:YY"

你也可以使用systemd单元来完成它,如下所述:Changing mac using systemd units.但是在一天结束时,它们也只是执行ip link set和macchanger的包装器.

标签:mac-address,linux,interface,network-interface

来源: https://codeday.me/bug/20190810/1634815.html

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
### 回答1: 这两个文件都是用来配置网络接口的文件,但是它们所处的位置和使用方法有所不同。 /root/Local/interfaces是Ubuntu下的网络接口配置文件,它通常用于配置静态IP地址,DNS服务器等网络参数。这个文件只对当前登录的用户可见,而不是全局设置,因此每个用户都可以有自己的网络配置。 /etc/network/interfaces则是Debian系列操作系统的网络接口配置文件,它通常用于配置系统全局的网络参数。这个文件可以设置多个网络接口的配置信息,包括IP地址、子网掩码、网关等等。 需要注意的是,这两个文件都需要root权限才能编辑和保存,因为它们涉及到系统的网络配置。 ### 回答2: 在Linux,root/Local/interfaces和etc/network/interfaces是两个不同的文件,用于配置网络接口。 /root/Local/interfaces是root用户的个人配置文件,它仅适用于当前用户,不会影响其他用户或整个系统。在该文件,可以设置特定用户的网络接口配置,比如设置IP地址、子网掩码、网关等。这个文件的路径是/root/Local/interfaces。 /etc/network/interfaces是系统级别的网络接口配置文件,它适用于整个系统的所有用户和网络接口。在这个文件,可以定义和配置系统的各个网络接口(如eth0、eth1等),包括设置静态IP地址、动态获取IP地址(DHCP)、网络协议版本(IPv4或IPv6)等。这个文件的路径是/etc/network/interfaces。 通常情况下,我们更常用的是/etc/network/interfaces文件来配置网络接口,因为它可以一次性设置整个系统的所有接口,便于系统管理。而/root/Local/interfaces则是用于特定用户的个人配置,适合个性化的需求。 总的来说,这两个文件都是配置Linux系统网络接口的重要文件,但是它们的作用范围和使用方式略有不同。 ### 回答3: 在Linux,root/Local/interfaces和/etc/network/interfaces都是与网络配置相关的文件。然而,它们位于不同的位置并具有不同的作用。 root/Local/interfaces是一个私有的网络配置文件,仅对当前用户有效。在该文件,可以定义与当前用户相关的网络接口、IP地址、子网掩码、网关等信息。这个文件通常不会影响到系统的整体网络配置。用户可以根据需求自定义这个文件,如指定某个网络接口的静态IP地址。 而/etc/network/interfaces是系统级别的网络配置文件,它适用于整个系统的网络接口。在该文件,可以定义系统的网络接口、IP地址、子网掩码、网关以及DNS服务器等信息。这个文件的配置会影响到整个系统的网络行为。通常,系统管理员会根据具体需求来修改该文件,如配置服务器的网络接口为静态IP地址。 总结起来,root/Local/interfaces是当前用户的私有网络配置文件,而/etc/network/interfaces是系统级别的网络配置文件。前者用于自定义当前用户相关的网络接口信息,后者用于配置整个系统的网络接口信息。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值