可编程的方波信号发生器 verilog_功能强大的信号发生器输出级设计

信号发生器产生定义的电信号,其特性随时间推移而变化。如果这些信号表现为简单的周期波形,如正弦波、方波或三角波,那么这些信号发生器称为函数发生器。它们通常用于检查电路或组件的功能。将信号发生器定义的信号施加于被测电路的输入端,并在输出端连接至相应的测量设备(例如,示波器)。这样用户就可以对电路进行评估。过去,挑战通常包括如何设计信号发生器的输出级。本文介绍如何设计通过电压增益放大器(VGA)和电流反馈放大器(CFA)搭建的小型经济的输出级。

典型的信号发生器提供25 mV至5 V输出电压。为了驱动50 Ω或更高的负载,一般会在输出端使用功能强大的分立式组件、多个并行组件,或者成本高昂的ASIC。其内部通常有继电器,允许设备在不同的放大或衰减级之间切换,从而调节输出电平。根据需要开关继电器来实现各种增益时,在一定程度上会导致操作断续。简化框图如图1所示。

8fad993a2967684a282b36656f367b0f.png

图1. 典型的信号发生器输出级的简化框图。

使用新款放大器IC作为输出级功放,可无需使用内部继电器而直接驱动负载。因此简化了信号发生器的输出级设计,且降低了复杂度和成本。这种输出的两个主要组件会构成一个强大的输出级,提供高速、高压、高电流,以及具有持续线性微调功能的可变放大器。

66e5c194bf4d79fd42a21a925b2678f8.png

图2. 带VGA的信号发生器输出级的简化框图。

首先,原始输入信号必须通过VGA放大或衰减。VGA的输出信号可以设置为所需的幅度,这种幅度与输入信号无关。例如,如果增益为10时,输出幅度VOUT为2 V,则VGA的输出幅度必须调整至0.2 V。遗憾的是,许多VGA因为有限的增益范围而产生瓶颈。增益范围很少能大于45 dB。

ADI公司在低功耗VGA AD8338上实现了0 dB至80 dB可编程增益范围。因此,在理想条件下,可以将信号发生器的连续输出幅度设置在0.5 mV和5 V之间,且无需额外使用继电器或开关网络。通过去除这些机械组件,可以避免不连续的输出。因为数模转换器(DAC)和直接数字频率合成器(DDS)组件通常具有差分输出,所以AD8338提供全差分接口。此外,通过灵活的输入级,任何不对称的输入电流都可以通过内部反馈环路得到补偿。同时,内部节点保持在1.5 V。在正常情况下,最大1.5 V输入信号在通过500 Ω输入电阻时,产成3 mA电流。在输入幅度较高(例如15 V)时,可能需要在输入引脚串联一个更高的电阻。该电阻阻值也要使得与输入电压为1.5V时一样,产生最大不超过3mA输入电流。

许多商用信号发生器在50 Ω(正弦波)负载下提供最大250 mW (24 dBm)的有效输出功率。但是,这对于具有较高输出功率的应用通常不够用,例如测试HF放大器或生成超声脉冲的要求。因此,还需要使用电流反馈放大器。ADA4870可以在±20 V电源电压条件下输出±17 V/1 A。正弦波可以在高达23 MHz的频率下实现满负载输出,这使其成为通用任意波形发生器的理想前端驱动器(输出级)。为了优化输出信号摆幅,将ADA4870的增益配置为10,因此所需的输入幅度为1.6 V。但是,由于ADA4870具有接地参考输入,而上游的AD8338具有差分输出,所以应在两个部件之间连接差分接收器放大器,以实施差分至到单端的转换。AD8130提供270 MHz的增益带宽积(GBWP),压摆率为1090 V/μs,非常适合此应用。AD8338的输出限制在±1 V,所以AD8130的中间增益应设计为1.6 V/V。整体电路配置如图3所示。在22.4 V (39 dBm)幅度和50 Ω负载下,可实现20 MHz带宽。

381b828de6a6b2c2935b7827ea9f3233.png

图3. 采用分立设计的信号发生器输出级的简化电路。

通过更高功率的VGA(AD8338)、大功率的CFA (ADA4870)和差分接收器放大器(AD8130)的组合,可以相对轻松地构建紧凑型高功率信号发生器输出级。它具有更高的系统可靠性、更长的服务寿命和更低的成本,因此优于传统输出级。

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值