iserdese2接口详解_7系列FPGA原语例程

这篇博客提供了7系列FPGA Verilog和VHDL原语的使用例程,包括ISERDESE2接口的详细解释。通过一系列的源代码文件,如ISERDESE2.veo和ISERDESE2.vho,读者可以深入了解如何在设计中应用这些原语。
摘要由CSDN通过智能技术生成

【实例简介】

7系列的Verilog/VHDL原语使用例程,可用NotePad或UltraEdit等工具打开查看

【实例截图】

【核心代码】

7_Series_Library_Templates

└── 7_Series_Library_Templates

├── readme.txt

├── Verilog

│   ├── ADDMACC_MACRO.veo

│   ├── ADDSUB_MACRO.veo

│   ├── BRAM_SDP_MACRO.veo

│   ├── BRAM_SINGLE_MACRO.veo

│   ├── BRAM_TDP_MACRO.veo

│   ├── BSCANE2.veo

│   ├── BUFGCE_1.veo

│   ├── BUFGCE.veo

│   ├── BUFGCTRL.veo

│   ├── BUFGMUX_1.veo

│   ├── BUFGMUX_CTRL.veo

│   ├── BUFGMUX.veo

│   ├── BUFG.veo

│   ├── BUFHCE.veo

│   ├── BUFH.veo

│   ├── BUFIO.veo

│   ├── BUFMRCE.veo

│   ├── BUFMR.veo

│   ├── BUFR.veo

│   ├── CAPTUREE2.veo

│   ├── CARRY4.veo

│   ├── CFGLUT5.veo

│   ├── COUNTER_LOAD_MACRO.veo

│   ├── COUNTER_TC_MACRO.veo

│   ├── DCIRESET.veo

│   ├── DNA_PORT.veo

│   ├── DSP48E1.veo

│   ├── EFUSE_USR.veo

│   ├── EQ_COMPARE_MACRO.veo

│   ├── FDCE.veo

│   ├── FDPE.veo

│   ├── FDRE.veo

│   ├── FDSE.veo

│   ├── FIFO18E1.veo

│   ├── FIFO36E1.veo

│   ├── FIFO_DUALCLOCK_MACRO.veo

│   ├── FIFO_SYNC_MACRO.veo

│   ├── FRAME_ECCE2.veo

│   ├── IBUFDS_DIFF_OUT_IBUFDISABLE.veo

│   ├── IBUFDS_DIFF_OUT_INTERMDISABLE.veo

│   ├── IBUFDS_DIFF_OUT.veo

│   ├── IBUFDS_GT

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值