计算机组成 存储系统设计实验,杭电计算机组成原理存储器设计实验.doc

本文档详细介绍了使用Verilog HDL在ISE环境下设计和验证存储器的过程,包括创建MemoryIP核,设置参数,调用RAM_B存储模块,并编写验证模块。实验目的是学习存储器的结构和读写原理,以及VerilogHDL的设计方法。
摘要由CSDN通过智能技术生成

文档介绍:

杭州电子科技大学计算机学院实验报告课程名称:计算机组成原理实验项目:存储器设计实验指导教师:实验位置:5姓名:班级:学号:日期:2015年5月15日实验目的学****和使用VerlilogHDL进行和思绪电路的设计方法学****在ISE中设计生产MemoryIP核的方法学****存储器的结构及读写原理,掌握储存器的设计方法实验环境ISEDesignSuite14.6DigilentAdeptNexys3实验板实验内容(算法、程序、步骤和方法)生成MempryIP核的产生步骤新建关联文档*.coe初始化文件操作新建一个MemoryIP内核MemoryIP内核的参数设置调用RAM_B存储模块编写一个实验验证的的顶层模块,调用生成的存储器模块配置管脚产生*.bit文件顶层模块:moduleTest_RAM_B(Mem_Addr,C,Mem_Write,Clk,LED);input[7:2]Mem_Addr; input[1:0]C; inputMem_Write,Clk; outputreg[7:0]LED; wire[31:0]M_R_Data; reg[31:0]M_W_Data; RAM_Bram(.clka(Clk),.wea(Mem_Write),.addra(Mem_Addr[7:2]),.dina(M_W_Data),.douta(M_R_Data)); always@(*) begin LED=0; M_W_Data=0; if(!Mem_Write) begin case(C) 2'b00:LED=M_R_Data[7:0]; 2'b01:LED=M_R_Data[15:8]; 2'b10:LED=M_R_Data[23:16]; 2'b11:LED=M_R_Data[31:24]; endcase end else begin case(C) 2'b00:M_W_Data=32'h0002_0003; 2'b01:M_W_Data=32'h0002_0603; 2'b10:M_W_Data=32'h1234_5678; 2'b11:M_W_Data=32'hffff_ffff; endcase end endendmodule(接上)实验内容(算法、程序、步骤和方法)"C[0]"LOC="C[1]"LOC="Clk"LOC="LED[0]"LOC="LED[1]"LOC="LED[2]"LOC

内容来自淘豆网www.taodocs.com转载请标明出处.

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值