硬件描述测试软件库中74138,《硬件描述语言》 实验指导书.pdf

《硬件描述语言》 实验指导书

《硬件描述语言》 实验指导书

南通大学电子信息学院

2008 年 2 月

《硬件描述语言》实验指导书

1、目的和任务

《硬件描述语言》是一门侧重于数字电子系统的设计和测试方法的课程,它

的上机实验是教学中的一个重要环节。通过上机学习,学生不仅可以系统地复习、

巩固该课程的基本理论,而且培养学生解决问题能力和创新能力,同时为该课程

的课程设计作准备。

2 、教学基本要求

(1 )、熟练使用EDA 软件(Quartus II 、ModelSim );

(2 )、掌握用Verilog HDL 实现组合逻辑电路和时序逻辑电路的方法。

3、实验条件

(1 )、PC 机、Quartus Ⅱ软件和ModelSim 软件

(2 )、GW48 型 EDA 实验开发系统(EP1K30TC144-3 )

4 、实验内容

序号 实验内容

1 实验一 设计工具的使用

2 实验二 组合逻辑电路设计(一)

3 实验三 组合逻辑电路设计(二)

4 实验四 总线与总线操作

5 实验五 时序逻辑电路设计(一)

6 实验六 时序逻辑电路设计(二)

5、实验成绩

实验成绩的评定由实验的验收等级和实验报告等级两个部分组成,各占

50%。实验的验收等级和实验报告等级均采用 5 个等级,即优、良、中、及格和

不及格。实验成绩占本课程平时成绩的 50%。

1

《硬件描述语言》实验指导书

实验一 设计工具的使用

三人表决器的Verilog HDL 设计

1、实验目的与要求

掌握在 Quartus Ⅱ开发环境下,运用硬件描述语言输入法对三人表决器进行

编译、调试和仿真的方法。电路的输入为 SW1、SW2 和 SW3 ,输出为L3 和 L4 ,

位宽均为 1 位。要求熟悉整个设计流程,从打开、建立文档、编辑、编译、建立

激励信号波形及最后仿真的整个过程。

2 、实验内容

(1 )、在Quartus Ⅱ开发环境下,建立工程,并将三人表决器的硬件描述语

言程序输入;

(2 )、完成编译、调试和仿真,分析实验仿真结果,并判断其正确性。

3、教学形式

(1 )、本实验为验证型实验,学生在实验前预习实验指导书;

(2 )、指导教师应该在实验前阐述实验目的、内容、方法和步骤,并且就实

验中的难点和注意事项进行一定的说明;

(3 )、实验结束之后,学生按照实验报告的书写格式自行完成实验报告。

4 、应达到的实验能力标准

(1 )、能熟练地在Quartus Ⅱ开发环境下,建立工程,并将三人表决器的硬

件描述语言程序输入;

(2)、能熟练地进行编译和调试,排除编译后的错误;

(3)、正确地在仿真之前进行功能仿真的设置,并熟练地完成功能仿真;

(4)、掌握基于ACEX1K 系列EP1K30TC144-3 器件的时序仿真方法。

5、思考题

(1 )、用 Quartus II 软件对设计电路进行的仿真包括哪两种类型,它们之间

有什么区别?

(2 )、硬件描述语言输入法进行设计时的基本操作流程包括哪些环节?

2

《硬件描述语言》实验指导书

实验二 组合逻辑电路的设计(1)

1、实验目的与要求

掌握在 Quartus Ⅱ开发环境下,运用 Verilog 硬件描述语言输入法对 8 选 1

多路选择器进行编译、调试和仿真的方法。要求根据使能端 en[1:0]的不同组合,

从 8 个输入 a 、b 、

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值