modelsim仿真不出波形_UVM学习-仿真环境的搭建

本文介绍了在Windows 10环境下使用modelsim_win64_SE_10.5搭建UVM仿真平台的过程,包括配置modelsim、编写dut、driver和top_tb sv文件,以及设置UVM库路径。通过modelsim脚本编译和运行仿真,并展示如何查看波形,验证了简单的收发模块功能。
摘要由CSDN通过智能技术生成

da38d8b602db71764903fd67192c12e7.png

UVM学习-仿真环境的搭建

  • 最近在学习UVM,参考张强的《UVM实战》(卷一),做一些笔记以防之后遗忘。

仿真平台一开始打算采样UBUNTU 18.04+VCS,但是电脑的虚拟机网络部分总是出现问题,导致安装一些库的时候很麻烦,没法一个命令行了事,最终选择偷懒的在win10下利用modelsim搭建UVM仿真平台,版本采用的是modelsim_win64_SE_10.5,利用其自带的uvm-1.1d库文件。

  • 首先以一个简单的仅有driver的UVM平台为例建立仿真环境,准备好三个sv文件,分别是dut.sv,my_driver.sv和top_tb.sv,分别如下图所示。

40269a4d5476f585ab6ce2ba0cac9ad7.png

这里的代码均来源于《UVM实战》(卷一)中,自己对其仿真时间进行了修改,以便电脑能快速运行出结果。

dut.sv:


 
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值