fpga挂一片ddr2_FPGA上外挂DDR2&DDR3&MIG IP的使用记录

本文介绍了如何在FPGA上使用Xilinx MIG IP配置和控制DDR2内存。内容包括理解DDR3协议、配置MIG IP的过程,以及如何进行IP的仿真和顶层文件调用。针对NEXYS4开发板上的DDR2型号MT47H64M16HR-25,详细阐述了配置步骤和注意事项。
摘要由CSDN通过智能技术生成

前言

当需要大容量数据存储及处理的时候,FPGA内部自带的存储资源是远远不够的,所以问题来了,怎么使用外带的DDR3?

首要问题在于DDR3是什么?有没有协议?当然只是需要用Xilinx MIG IP去配置使用的话,DDR3内部信号变化关系不需要太明了,当然明了会更佳,有时间可以看看底层内部架构,只是使用MIG IP去配置DDR3的话不需要像写一个DDR3控制器那么明白。

所需要预先储备的知识:

(1)阅读JEDEC  DDR3 SDRAM STANDARD (标准协议)(有空的童鞋可以阅读);

(2)阅读ug586_7Series_MIS.pdf (XIlinx MIG核配置文档)(必须的);

(3)百度文库中的高富帅教程,百度一下就可以知道(推荐阅读);

Xiinx MIG IP为开发者提供了用户接口,极大的降低了开发者控制DDR3的难度,提升开发效率(然并卵)。

///

1.看完上述文章后,你就想着怎么在vivado中生成MIG IP呢?

本次配置以NEXYS4开发板作为配置平台;Xilinx vivado 2016.1

NEXY4开发板上自带的DDR为DDR2 型号为MT47H64M16HR-25;

配置DDR3和DDR2基本上是类似的;

(1)打开IP配置界面,搜索MIG:

(2)配置,next:

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值