SysytemC知识点整理

背景:最近需要用到noxim做关于noc的仿真实验,所以学习一下SystemC,这里简短的整理一下学习笔记。看的是B站的一个视频:【数字芯片验证&软硬件协同仿真】SystemC_哔哩哔哩_bilibili

正题:

SystemC根本不是一种语言,实际上是C++的库。它是以常规c语言编写的用于模拟硬件行为的类。

#include<system.h>//头文件,用于引用system库

SC_MODULE(and2){//声明了一个名叫and2的模块

sc_in<DT> a;

sc_in<DT>b;//这里给这这个模块,定义了两个输入端口a和b

sc_out<DT> f;//定义一个输出端口f

sc_in<bool> clk;//定义一个时钟信号,时钟总是一个信号位,所以用bool类型

void func(){

        f.write(a.read()&b.read());//向输出端口f写入值,这个值是在输入端口a和b上读取的

}

SC_CTOR(and2){//实例化该and2模块以进行仿真,比如指定设计是在上升沿还是下降沿进行更改的操作,本例仅简单将a和b输入端口读入,然后将结果写入输出端口f

        SC_M

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值