Xilinx原语归纳总结

4 篇文章 0 订阅
1 篇文章 0 订阅

写在前面的话

最近在写hdmi的驱动中,通过看源代码发现了几处需要用到原语的地方。故下载了手册进行了解。
参考手册为ug768《7 series_hdl》,为了便于查询,会总结一下日常中遇到的原语及相关用法。
其实可以直接查原手册,我起到的作用只是一个搬运工+翻译官

1.OBUFDS

为一个差分信号输出的buffer,如图
在这里插入图片描述该元件是一个单一输出的buffer,支持LVDS(low-voltage,differential signaling),有一个输入,两个输出O和OB,被认为一主一从。在使用时对照逻辑表即可。

VHDL例化

BUFDS_inst : OBUFDS
generic map (
IOSTANDARD => "DEFAULT", -- Specify the output I/O standard
SLEW => "SLOW") -- Specify the output slew rate
port map (
O => O, -- Diff_p output (connect directly to top-level port)
OB => OB, -- Diff_n output (connect directly to top-level port)
I => I -- Buffer input
)

verilogHDL例化

OBUFDS #(
.IOSTANDARD("DEFAULT"), // Specify the output I/O standard
.SLEW("SLOW") // Specify the output slew rate
) OBUFDS_inst (
.O(O), // Diff_p output (connect directly to top-level port)
.OB(OB), // Diff_n output (connect directly to top-level port)
.I(I) // Buffer input
)
  • 1
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值