sv/uvm的package、import、‘include的使用:

sv/uvm的package、import、'include的使用:

1、package的作用不仅仅是将文件进行打包,而且会对打包的文件限定package作用域范围,这些文件只在package作用域范围内可见;
2、
a、import package的作用:主要是将package的作用域范围扩大,让package中的内容在import所在作用域内可见;
b、'include是将文本直接复制来的,在预处理时完成,比import执行的更早;
注:
1、因为’include是将文本直接复制的,所以其导入顺序很重要,应该是自底而上的;
2、在添加编译选项时只要添加package所在文件夹即可,在编译文件时其内的’include文件也会被执行;

  • 3
    点赞
  • 56
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值