计算机组成中uBUS,《计算机组成原理》实验报告---8位算术逻辑运算实验.docx

文档介绍:

计算机专业类课程实验报告课程名称:计算机组成原理学 院:信息与软件工程学院专 业:软件工程学生姓名:学 号:指导教师:日 期: 2012 年 12 月 15 日电子科技大学计算机学院实验中心电子科技大学实 验 报 告一、实验名称: 8位算术逻辑运算实验二、实验学时:2三、实验内容、目的和实验原理:实验目的:1.掌握算术逻辑运算器单元 ALU(74LS181) 的工作原理。2.掌握模型机运算器的数据传送通路组成原理。3.验证74LS181的组合功能。4.按给定数据,完成实验指导书中的算术/逻辑运算。实验内容:使用模型机运算器,置入两个数据 DR1=35,DR2=48,改变运算器的功能设定,观察运算器的输出,记录到实验表格中,将实验结果对比分析,得出结论。实验原理:1. 运算器由两片74LS181以并/串形式构成8位字长的ALU。运算器的输出经过一个三态门(74LS245)和数据总线相连。运算器的两个数据输入端分别由两个锁存器(74LS273)锁存。锁存器的输入连至数据总线,数据开关(INPUTDEVICE)用来给出参与运算的数据,并经过一三态门(74LS245)和数据总线相连。数据显示灯(BUSUNIT)已和数据总线相连,用来显示数据总线内容。实验器材(设备、元器件):模型机运算器四、实验步骤:仔细查看试验箱,按以下步骤连线1)ALUBUS连EXJ3ALU01连BUS1SJ2连UJ2跳线器J23上T4连SDLDDR1,LDDR2,ALUB,SWB四个跳线器拨在左边6)AR跳线器拨在左边,同时开关 AR拨在“1”电平核对线路,核对正确后接通电源用二进制数据开关KD0-KD7向DR1和DR2寄存器置入8位运算数据。电子科技大学计算机学院实验中心①调拨8位数据开关KD0-KD7为01100101(35H),准备向DR1送二进制数据。②数据输出三态缓冲器门控信号 ALUB=1(关闭)。③数据输入三态缓冲器门控信号 SWB=0(打开)。④数据锁存DRi控制信号LDDR1=1(打开),同时,LDDR2=0(关闭)。⑤打入脉冲信号T4,将数据65H置入DR1。重复步骤1-5,同理将数据A7H置入DR2检验DR1和DR2置入的数据是否正确。1) 数据输出三态缓冲器门控信号 ALUB=0(打开);2) 数据输入三态缓冲器门控信号 SWB=1(关闭);3) 数据锁存DRi控制信号LDDR1、LDD

内容来自淘豆网www.taodocs.com转载请标明出处.

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
1. 深入掌握CPU的工作原理,包括ALU、控制器、寄存器、存储器等部件的工作原理; 2. 熟悉和掌握指令系统的设计方法,并设计简单的指令系统; 3. 理解和掌握小型计算机的工作原理,以系统的方法建立起整机概念; 4. 理解和掌握基于VHDL语言和TEC-CA硬件平台设计模型机的方法。 二、设计要求   参考所给的16位实验CPU的设计与实现,体会其整体设计思路,并理解该CPU的工作原理。在此基础上,对该16位的实验CPU(称为参考CPU)进行改造,以设计得到一个8位的CPU。总的要求是将原来16位的数据通路,改成8位的数据通路,总的要求如下: 将原来8位的OP码,改成4位的OP码; 将原来8位的地址码(包含2个操作数),改成4位的地址码(包含2个操作数)。   在上述总要求的基础上,对实验CPU的指令系统、ALU、控制器、寄存器、存储器进行相应的改造。具体要求如下: 修改指令格式,将原来指令长为16位的指令格式改成8位的指令长格式; 设计总共16条指令的指令系统。此指令系统可以是参考CPU指令系统的子集,但参考CPU指令系统A组和B组的指令至少都要选用2条。此外,常见的算术逻辑运算、跳转等指令要纳入所设计的指令系统; 设计8位的寄存器,每个寄存器有1个输入端口和2个输出端口。寄存器的数量受控于每一个操作数的位数,具体要看指令格式如何设计; 设计8位的ALU,具体要实现哪些功能与指令系统有关。设计时,不直接修改参考CPU的VHDL代码,而是改用类似之前基础实验时设计ALU的方式设计; 设计8位的控制逻辑部件,具体结合指令功能、硬布线逻辑进行修改; 设计8位的地址寄存器IR、程序计数器PC、地址寄存器AR; 设计8位的存储器读写部件。由于改用了8位的数据通路,不能直接采用DEC-CA平台上的2片16位的存储芯片,需要按照基础实验3的方法设计存储器。此种方法不能通过DebugController下载测试指令,因此测试指令如何置入到存储器是一个难点。设计时,可以考虑简单点地把指令写死在存储器(可用于验证指令的执行),然后用只读方式读出来;或者考虑在reset的那一节拍里,实现存储器待测试指令的置入; (可选项)设计8位的数据寄存器DR; (可选项)不直接设计存储器RAM,而是采用DEC-CA平台上的2片16位的存储芯片.在实现了第9个要求的基础上,实现由Debugcontroller置入待测试指令; (可选项)顶层实体,不是由BDF方式画图实现,而是用类似基础实验4(通用寄存器组)设计顶层实体的方式,用VHDL语言来实现。 (可选项)自己设想   利用设计好的指令系统,编写汇编代码,以便测试所有设计的指令及指令涉及的相关功能。设计好测试用的汇编代码后,然后利用Quartus II软件附带的DebugController编写汇编编译规则。接着,利用DebugController软件把汇编编译之后的二进制代码置入到所采用的存储器,并对设计好的8位CPU进行测试。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值