对于多模块项目如何创建

对于多模块项目如何创建

在工作中一个project经常需要建立多个子模块,例如common、service、management、quartz 那么如何创建并且管理模块间的依赖

1.

正常建立一个springboot项目,作为大的project,例如命名wjt-workspace,删除src,保留settings.gradle,添加include,如图:

2.添加module

正常建立两个springboot项目,例如wjt-one,wjt-two,注意在建立项目中 Artifact 是 子项目名字,下边的Name 是 启动类的名字 

 

3.build.gradle 添加另一个项目的依赖

dependencies {
    compile project(":wjt-one")
    implementation 'org.springframework.boot:spring-boot-starter-web'
    testImplementation 'org.springframework.boot:spring-boot-starter-test'
}
你可以使用VHDL来调用Vivado中的FIFO(First-In-First-Out)模块。FIFO是一种常用的数据缓冲器,可以在数据流中实现存储和读取操作。下面是一个使用VHDL调用FIFO的基本步骤: 1. 首先,在Vivado创建一个新的工程,并打开VHDL设计文件。 2. 在你的VHDL设计文件中,需要引入FIFO模块所在的库。一般来说,FIFO模块位于`ieee.std_logic_1164.all`库中。你可以在文件开头添加以下语句引入库: ``` library ieee; use ieee.std_logic_1164.all; ``` 3. 然后,你需要声明FIFO模块的实例。可以使用VHDL中的`component`和`end component`关键字来声明FIFO模块的接口和信号。例如: ``` component fifo generic ( DATA_WIDTH : integer := 8; -- 数据宽度 DEPTH : integer := 16 -- FIFO深度 ); port ( clk : in std_logic; -- 时钟信号 rst : in std_logic; -- 复位信号 wr_en : in std_logic; -- 写使能信号 rd_en : in std_logic; -- 读使能信号 wr_data : in std_logic_vector(DATA_WIDTH-1 downto 0); -- 写数据信号 rd_data : out std_logic_vector(DATA_WIDTH-1 downto 0); -- 读数据信号 full : out std_logic; -- FIFO满信号 empty : out std_logic -- FIFO空信号 ); end component; ``` 4. 接下来,在你的设计文件中实例化FIFO模块。你需要为FIFO模块的每个接口和信号创建一个实例信号,并连接到对应的时钟、复位、使能和数据信号。例如: ``` signal clk : std_logic; signal rst : std_logic; signal wr_en : std_logic; signal rd_en : std_logic; signal wr_data : std_logic_vector(DATA_WIDTH-1 downto 0); signal rd_data : std_logic_vector(DATA_WIDTH-1 downto 0); signal full : std_logic; signal empty : std_logic; fifo_inst: fifo generic map ( DATA_WIDTH => 8, -- 设置数据宽度 DEPTH => 16 -- 设置FIFO深度 ) port map ( clk => clk, rst => rst, wr_en => wr_en, rd_en => rd_en, wr_data => wr_data, rd_data => rd_data, full => full, empty => empty ); ``` 5. 最后,你可以在你的设计逻辑中使用实例化的FIFO模块。通过控制写使能信号(`wr_en`)和读使能信号(`rd_en`),以及提供写数据(`wr_data`)和读数据(`rd_data`),你可以实现对FIFO的写入和读取操作。 这就是使用VHDL调用Vivado中的FIFO的基本步骤。根据你的具体需求,你可能需要进一步配置FIFO模块的参数和处理FIFO状态信号。请参考Vivado的文档和FIFO模块的手册以了解更多详细信息。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值