自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(233)
  • 收藏
  • 关注

转载 为芯片奠定数学基础的那些大神们都是谁?

1:莱布尼茨的二进制和八卦在你看本文的这一刻,手机处理器中,正有千万门级别或者亿门的MOS管在关闭和打开,来实现不同的与,或,非的运算。这一切数学基础都要从数学最基础的原理来说起。人类从呱呱坠地开始,耳濡目染最开始就是十进制,小朋友也很容易接受,并可以很快掌握十进制的加减法。十进制非常通俗易懂,它成为了人类最早的数学基础。世界上不同的文明都出现了类似十进制的描述,例如几千年前的苏美尔楔形文字,汉字...

2023-03-02 18:30:20 522

转载 芯片高薪什么时候凉,会以什么形式收场

2023至2025年,IC会以挤掉行业泡沫,回归价值为主旋律。而这样的基调,也同样会反应在薪资上。// 2023 Spring”国内半导体行业经过2020~2023年的短暂狂欢后,开始进入下行周期。3年基本上是一个临界点,任何一家初创,甭管你融了多少资,流了几次片,3年后如果还看不到任何打进产业供应链的希望,那就危险了,你PPT讲的是很精彩,但那些投资人哪个不是人精呢?说回薪资,2023年春节前后...

2023-02-21 18:30:46 624

转载 Vivado中的Elaborate是做什么的?

在Vivado的界面中,有个RTL ANALYSIS->Open Elaborated Design的选项,可能很多工程师都没有使用过。因为大家基本都是从Run Synthesis开始的。elaborate可以翻译为“详尽解析”,就是将RTL源代码翻译转换成对应的电路。有同学会问,这不是Synthesis做的工作吗?我们可以来比较一下Elaborate和Synthesis后的Schemati...

2023-02-21 18:30:46 1170

转载 如何成为一个优雅的硬件工程师?

优雅?硬件?看似毫不关联的两个词汇在我们工程师的手里竟然发生了奇妙的化学反应,使其紧密地结合在了一起。最近发现有位宝藏up主发了新作品——年轻人的第二个电流表。项目获取方式:登录硬创社官网x.jlc.com,搜索“模拟及五位源表 / 电流表(一)控制部分”即可。本项目作者分为2个项目上传(因为2块板),本文主要讲解第一部分(控制部分),作者免费供大家下载复刻,下载的时候记...

2022-07-22 19:16:51 932

原创 ADRV9009的供电要求和集成锁相环

ADRV9009的供电要求芯片有如下不同的用电端,各路供电载流要求( 模拟供电端需要使用低噪声LDO来提供低噪声电源):(1)VDDA1P3 Analog的供电网络载流要求为3A.(2)VDDD1P3_DIG的供电网络载流要求3A.(3)VDDA1P8_TX,VDDA1P8_BB的供电网络总载流要求为0.6A .(4)VDD_INTERFACE的供电网络载...

2022-05-14 18:30:00 902

原创 ad9528_setup()函数详解

完成AD9528参数配置后, 运行 ad9528_setup(..) 函数开始AD9528的配置。ad9528_setup(..) 根据初始化配置中的参数,计算对应寄存器的值,并通过 SPI 将各个寄存器的值写入到AD9528芯片中,完成对AD9528芯片的配置。

2022-05-10 13:25:27 1582

转载 推荐一个IC技术交流的好地方!

最近和友人讨论,能否有一个更好的平台,让大家可以更自由地进行技术交流,解答疑问。我们有很多技术讨论群,但是,群的问题一个是人数限制,一个是无法进行沉淀。即使讨论了一个很有共性的问题,讨论过后也就消失了。类似于bbs的论坛还是尤其存在的必要性的,特别是对于技术讨论来说。已有的bbs,涉及的领域太多太杂,而且动不动就需要积分才能看到答案或者下载附件,感觉非常麻烦。找到需要的内...

2022-03-31 20:30:00 755 1

转载 看看大佬们最近写的文章

本次总共收集了5位大牛的几十篇精品文章,内容涉及设计,验证,行业研究,ICer职场生活等各方面,欢迎大家点击阅读并关注。公众号:数字IC打工人公众号简介:一个致力于分享数字IC知识的打工人...

2022-03-17 09:57:45 429

转载 招聘 | 上海/西安哲库处理器芯片方向春招和实习生招聘

哲库负责开发处理器芯片的核心部门现开放春招和实习生招聘。芯片类任何岗位均有空缺。上海和西安均有就业和实习的岗位。发送简历至师兄邮箱:[email protected]。请在邮件末尾备注:工号...

2022-03-11 10:33:00 694

转载 FPGA和数字IC笔试面试总结推荐

FPGA和数字IC笔试面试总结推荐 , 作者 Crazzy_M 。内容如下,全是干货:链接如下:FPGA/IC 秋招笔试/面试题总结(目录)https://blog.csdn.net/qq...

2022-02-09 18:56:05 928

原创 ADRV9009系统初始化流程

来源自 《ADRV9008-1-W-9008-2-W-9009-W-Hardware-Reference-Manual-UG-1295 》 PG93下面描述ADRV9009初始化流程芯片初始化顺序初始化序列由API调用和特定于硬件平台的用户定义函数调用组成。API函数执行收发器配置、校准和控制等所必要的任务。用户需要根据硬件平台的要求,将其代码插入到初始化序列中。这些平台要求包括但不限于:用户时钟设备、用户FPGA/专用集成电路(ASIC)/JESD204B接口、数据路径控制以及由应用.

2022-01-19 11:15:28 4155 2

原创 ADRV9009的JESD204接口传输

Framer : 对应数据接收端,处理模拟信号经过ADC转化后的数字信号,将其打包为jesd204b 协议的格式,通过高速串行总线( SERDOUT )发送到FPGA,FPGA 通过 SYNCIN (rx_sync)给ADRV9009 提供同步反馈信号。Dframer : 对应数据发射端,处理来自FPGA的数据通过高速串行总线发送的打包为jesd204b 协议的格式数据,并通过DAC 将数字信号转为模拟信号发送。ADRV9009 通过 SYNCOUT (tx_sync)给 FPGA 提供同...

2022-01-19 09:53:48 2277 1

原创 ADRV9009配置参数验证

函数原型:TALISE_verifyProfiles(); //入口函数,其中调用下面三个子函数 talVerifyRxProfile(); //Rx通道的参数验证 talVerifyOrxProfile(); //Orx的参数验证 talVerifyTxProfile(); //Tx 的参数验证1,TX 的参数验证具体如下( talVerifyTxProfile() )txInputRate_kHz:Tx 端的数据速率,KHz 为单位。参数限制: 15000 &

2022-01-17 10:54:41 2496 2

原创 JESD204B 和 JESD204C 之间的差异

JESD204 标准

2022-01-15 17:03:35 5143

转载 芯片工艺的5nm和7nm是怎么来的?揭开芯片工艺和摩尔定律背后的“秘密”

1:摩尔定律1965年,硅谷传奇,仙童“八叛徒”之一,英特尔原首席执行官和荣誉主席,伟大的规律发现者戈登·摩尔正在准备一个关于计算机存储器发展趋势的报告。在他开始绘制数据时,发现了一个惊人...

2021-11-25 18:30:00 3243

转载 JESD204C入门:第1部分-有何新增及对您有用的内容

本文内容来自ADI的技术文章,作者:Del Jones原网址为:https://www.analog.com/cn/analog-dialogue/articles/jesd204c-pr...

2021-11-14 18:30:00 424

转载 了解JESD204B规范的各层—— 从高速ADC的角度出发

本文内容来自ADI的技术文章,作者:Jonathan Harris原网址为:https://www.analog.com/cn/technical-articles/understandi...

2021-11-14 18:30:00 763

转载 JESD204C 入门:第2部分-新特性及其内容

本文内容来自ADI的技术文章,作者:Del Jones原网址为:https://www.analog.com/cn/analog-dialogue/articles/jesd204c-pr...

2021-11-14 18:30:00 932

转载 大话FPGA-“万能的芯片?”

说起FPGA之前,先提个问题。芯片的本质是什么?老哥认为芯片的本质是电路!简单来说,数字芯片,不论多复杂,其底层就是 与,或,非的组合。这个是某宝上可以买到的世界上简单的芯片之一, 74L...

2021-11-02 18:30:00 1924

转载 IC职场说——入职4个月数字IC前端设计师兄感受(篇一)

DIGITALIS、POWER本期导读从本期开始,IC媛公众号将推送职场人采访系列,我们邀请了在数字IC一线岗位的师兄师姐,对大家常见的疑惑作以解答。希望对大家有所启发!# FAQ1工作...

2021-11-02 18:30:00 1465

转载 Verilog 教程

第一章:Verilog 简介1.1 Verilog 教程 1.2 Verilog 简介 1.3 Verilog 环境搭建 1.4 Verilog 设计方法第二章:...

2021-10-18 18:02:25 3990 1

转载 从材料到IC,人生应是一片旷野,而非轨道

编者语:今年七月份通过知乎认识退之兄,秋招的几个月,我们经常一起讨论笔试面试,互相帮助。之前就知晓退之兄是转行的,为此付出了很多努力。前几天约他来简单分享一下求职经历,希望给有意芯片行业...

2021-10-18 18:02:25 1418

转载 TCL 教程

TCL 常常被称为工具命令语言 (Tool Command Language)。TCL 简单易学,功能强大。本教程主要针对使用数字 IC 设计工具而涉及 TCL 使用的开发人员。第一章:T...

2021-10-18 18:02:25 2908

原创 AD9528芯片介绍及配置详解

AD9528是ADI(亚德诺半导体技术有限公司,Analog Devices, Inc. 简称ADI )出品的一款双级PLL,集成JESD204B SYSREF发生器,可用于多器件同步。...

2021-08-24 18:30:00 8777 3

转载 FPGA实现高带宽NVMe SSD读写

本文引用自CSDN,作者:ARTBES。原文地址:https://blog.csdn.net/weixin_42166371/article/details/117573776项目背景近期...

2021-08-10 18:30:00 2251

转载 【五一特刊】FPGA零基础学习:SDR SDRAM 驱动设计

大侠好,欢迎来到FPGA技术江湖,江湖偌大,相见即是缘分。大侠可以关注FPGA技术江湖,在“闯荡江湖”、"行侠仗义"栏里获取其他感兴趣的资源,或者一起煮酒言欢。本系列将带来...

2021-05-03 14:35:41 1015

转载 【五一特刊】FPGA零基础学习:VGA协议驱动设计

大侠好,欢迎来到FPGA技术江湖,江湖偌大,相见即是缘分。大侠可以关注FPGA技术江湖,在“闯荡江湖”、"行侠仗义"栏里获取其他感兴趣的资源,或者一起煮酒言欢。本系列将带来...

2021-05-03 14:35:41 365

转载 【五一特刊】FPGA零基础学习:IIC协议驱动设计

大侠好,欢迎来到FPGA技术江湖,江湖偌大,相见即是缘分。大侠可以关注FPGA技术江湖,在“闯荡江湖”、"行侠仗义"栏里获取其他感兴趣的资源,或者一起煮酒言欢。本系列将带来...

2021-05-03 14:35:41 731

原创 【集创赛】arm杯一等奖作品:智能BLDC驱动系统

本次推荐2020年集创赛arm杯的一等奖作品!赛题要求实现"基于 Arm 处理器核的智能化 SoC 设计",下面是他们的作品介绍!本转载已获得作者授权!Arm杯-基于Ar...

2021-04-20 19:58:23 1655

原创 开源H.264 Video Encoder IP Core V2.0 介绍

本文介绍由复旦大学专用集成电路与系统国家重点实验室(State Key Lab of ASIC & System,Fudan University)视频图像处理实验室(VIP L...

2021-04-12 13:36:47 2243

转载 【数字赛道命题三】基于复旦微FPGA平台实现H.264视频解码

一、 赛题基于复旦微FPGA平台实现视频解码要求:1、使用verilog实现H.264视频解码功能;2、图像分辨率不低于720P(1280x720);3、在复旦微电子的FPGA平台实...

2021-04-08 20:51:28 1838

转载 【数字赛道命题二】椭圆曲线数字签名算法的高性能硬件实现

一、 赛题简介1985年,Victor Miller和Neal Koblitz分别提出了椭圆曲线密码体制(Elliptic Curve Cryptography,ECC)。椭圆曲线密码算法...

2021-04-08 20:51:28 677

转载 【数字赛道命题一】基于PSOC实现的语音识别(关键词识别)模型算法,设计相应的核心数字电路模块

一、 赛题简介近年来,基于神经网络实现的语音识别技术已成为AI领域研究的热门方向之一。随着应用场景的日新月异,对识别性能的要求不断提高,对神经网络进行硬件加速已成为语音识别的一个必要环节。...

2021-04-08 20:51:28 577

原创 PlutoSDR学习指南【0】PlutoSDR介绍

【注】前几篇文章介绍了PlutoSDR的简单实用,这一篇文章在补一个对PlutoSDR的介绍,本应当放在第一篇推文,这篇文章就是第0篇吧!ADI Pluto是ADI公司推出的主动学习模块(...

2021-03-09 20:02:59 8751 3

原创 PlutoSDR学习指南【3】官方软件“IIO Oscilloscope”

Pluto一开始拿到手之后,一般先做一个连接,看看设备能不能工作。由于一般都是直接连接到windows系统下面,所以我们采用IIO Oscilloscope做测试。下载地址:https:/...

2021-03-08 21:00:07 3821 2

原创 PlutoSDR学习指南【2】无线数据传输

ADALM-PLUTO主动学习模块(PlutoSDR)易于使用,有助于向电气工程专业学生介绍软件定义无线电(SDR)、射频(RF)和无线通信的基础知识。该模块针对不同层次和背景的学生而设计...

2021-03-07 18:05:19 7365 2

原创 PlutoSDR学习指南【1】环境搭建+资料分享

1软件无线电及Pluto简介软件无线电平台最通俗的语言来说,即通信系统中的功能采用软件实现,且可反复使用。比如简单的发射和接收信号。可以通过软件设置。信号的编码解码,可以通过软件设置。甚...

2021-03-06 18:06:24 7601 18

转载 从 IP 开始,学习数字逻辑:DataMover 基础篇

本文转载自知乎作者 ljgibbs原文链接:https://zhuanlan.zhihu.com/p/82129170何为 DataMoverDataMover 很有趣的名字,他是...

2021-03-04 18:48:45 1630

转载 为什么最好的光刻机来自荷兰,而不是芯片大国美国?

要知道为什么最好的光刻机来自荷兰,而不是美国,得从半导体发展的三个历史阶段说起。前言在20世纪70年代初,荷兰飞利浦研发实验室的工程师们制造了一台机器:一台试图像印钞票一样合法赚钱的机器。...

2021-02-26 15:23:52 1928

转载 2021年全国大学生电子设计竞赛官方通知正式发布

转载自公众号:德州仪器TI校园计划关于组织2021年全国大学生电子设计竞赛的通知(电组字〔2021〕01号)各赛区组织委员会、各有关高等学校:全国大学生电子设计竞赛(以下简称全国竞赛)组...

2021-01-31 14:17:12 34606 3

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除