ic验证笔记-20天挑战day1.2

本文详细介绍了如何设计一个16x8位的双端口RAM,该RAM具有8位宽度和16个存储位置。通过使用4位地址总线,地址范围从0到15。模块包括两个时钟、读写允许信号、写地址、读地址和数据输入输出。参数化设计确保了灵活性。
摘要由CSDN通过智能技术生成

1.设计实现一个16x8的双端口RAM

RAM宽度是8bit

RAM深度是16

ADDR位宽是2^4,范围是0...15

module dp_ram(

write_clock,

read_clock,

write_allow,

read_allow ,

write_addr,

read_addr,

write_data,

read_data

);

parameter DLY = 1;

parameter RAM_WIDTH = 8;//宽度

parameter RAM_DEPTH = 16;// 深度

parameter ADDR_WIDTH = 4;//地址位宽

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值