自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(14)
  • 收藏
  • 关注

原创 FPGA 自定义图像处理IP核封装,并插入视频处理系统中(边缘检测)

一.OV5640 摄像头 LCD 显示灰度图系统框图二.将自己的图像处理算法封装成对应的IP核

2023-03-02 10:23:58 626 1

原创 yolov5的运行环境配置、参数修改和训练命令,并将训练的pt模型转换为rknn模型,并推理

yolov5的运行环境配置、参数修改和训练命令,并将训练的pt模型转换为rknn模型,并推理

2023-02-26 22:43:01 3520 9

原创 FPGA利用查找表实现sin cos函数

FPGA利用查找表实现sin cos函数

2022-07-17 20:56:10 2527

原创 VGA 基础知识

5654654

2022-06-15 22:26:51 3996

原创 ISE FPGA 图像处理大杂烩(记录,经验)

ISE1.驱动2.连接jtag3.基本使用流程(工程,约束,仿真,烧写)

2022-06-09 09:31:53 432

原创 数字图像处理---图像复原(空间域)---MATLAB---实例程序

1.绪2.噪声2.1 一些重要噪声的概率密度函数2.2 添加噪声(实例1)2.3 周期噪声2.4 添加正弦噪声(实例2)3.均值滤波3.1 算术均值滤波3.2 几何均值滤波3.3 均值滤波1(实例3)3.4 谐波均值滤波3.5 逆谐波均值滤波3.6 均值滤波2(实例4)4.统计排序滤波4.1 中值滤波4.2 多次中值滤波(实例5)4.3 最大值和最小值滤波4.4 最大最小滤波(实例6)4.5 修正后的阿尔法均值滤波器(实例7)...

2022-05-04 21:44:58 1694

原创 FPGA图像处理 VGA时序 边缘检测---sobel算子 verilog

1.VGA时序1.1top.v(顶层文件)1.2调用的IP核(Clocking Wizard) PLL, 25.175MHZ。1.3vga_driver.v(vga驱动)1.4frame_controller.v(帧控制)1.5top_tb.v(测试文件)1.6仿真图:2.利用VGA显示图像,并进行灰度变换2.1将图像数据导为.coe文件2.2调用IP核RAM,将.coe文件导入2.3修改模块2.4仿真图2.5数据总流向3.边缘检测---sobel

2022-05-03 19:53:54 2306 2

原创 FPGA 图像处理 HLS

灰度变换rgb2gray.hrgb2gray.cpptest_rgb2gray.cppC仿真sobel边缘检测sobel.hsobel.cpptest_sobel.cppC仿真

2022-04-14 21:51:12 467

原创 FPGA图像处理之 插值 边缘检测

1 插值1.1 传统图像插值1.1.1 Pixel Replication(像素复制)1.1.2 Bilinear Interpolation(双线性插值)1.2 Bicubic插值2 边缘检测2.1 Roberts2.2 Laplacian2.3 Prewitt2.4 Sobel

2022-04-07 18:48:50 3466

原创 FPGA图像处理 浅浅浅浅浅记

1.MATLAB编写2.配置System Generator3.添加3 Line Buffer4.添加分层5.进行卷积6.切片操作7.完整System8.结果

2022-03-29 22:47:49 3161

原创 FPGA Vivado 真双口RAM

1.Block RAM设置2.Design sourceram_test.v`timescale 1ns / 1psmodule ram_test( clk, //50MHz时钟 rst_n, w_addr, w_data, wea, r_addr, r_data //复位信号,低电平有效 );input clk;input rst_n;output reg [...

2022-03-25 21:52:12 1415 1

原创 FPGA数字图像处理 灰度变换 Vivado传送图片数据 verilog

1.读取图像数据2.Vivado中编写设计文件3.编写测试文件4.回读新文件里的数据,生成图像5.图像对比

2022-03-02 19:59:54 4057 1

原创 HDLbits练习答案(完) 只有你一个success啊

1.Verilog Language1.1 Basics1.1.1 Simple wire1.1.2 four wires1.1.3 Inverter1.1.4 AND gate1.1.5 Norgate1.1.6 Xnorgate1.1.7 Wire decl1.1.8 74581.2Vectors1.2.1 Vectors1.2.2 Vectors in more detail1.2.3 Vector part select1.2.4 Bitw1.2.5 Four-inp

2022-01-11 22:32:38 23007 9

原创 灰度变换1

将rgb图转为gray图>> i=imread('C:\Users\lenovo\Pictures\Saved Pictures\4.jpg');>> f=rgb2gray(i);1.使用函数imadjustg=imadjust(f,[low_in high_in],[low_out high_out],gama)f为输入图像,[low_in high_in]输入值在0到1之间,函数imadjust会通过乘以255来确定实际值,low_in以下的映射都为low_o

2021-11-04 21:54:07 137

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除