Tips: How to quit simulation by using UVM_ERROR

set_report_max_quit_count( 10 );

It will exit simulation after the number of UVM_ERRORS reaching 10.

It can be added in build_phase or other phases.
It can be added in base_test. If you add it in testcase at the same time, testcase would be given the highest priority.

You can also use below option to enable this feature when do simulation:
+UVM_MAX_QUIT_COUNT=10

Normally, we should set this to terminated simulation early since we have already get enough UVM_ERROR message to debug.

Further study for inserting delay before quit

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值