Verilog的带参数例化

模块电路

module test
#(  parameter       P1 = 1 ,
    parameter       P2 = 2 )//参数例化
(
    input clk,
    input a,
    output b
);

//内部电路
endmudule

例化时修改参数定义的方式
写法1:

test #(.P1(3),.P2(4))
u_test(
	.clk(clk),
	.a(a),
	.b(b)
);

写法2:

test #(3,4)
u_test(
	.clk(clk),
	.a(a),
	.b(b)
);

写法3:

defparam u_test.P1 = 3;
defparam u_test.P2 = 4;    
test u_test(
	.clk(clk),
	.a(a),
	.b(b)
);

注意:在进行参数定义的时候,不要写成下面的形式,要写就全部在模块的开头位置进行定义,或者就按照下面全部写在模块内部,否则在编译的时候会出错。

module test

(
    input clk,
    input a,
    output b
);
parameter       P1 = 1 ;
parameter       P2 = 2 ;//参数例化
    //内部电路
endmudule
  • 10
    点赞
  • 53
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值