如何去除仿真窗口的信号名前缀/来源模块?(仿真工具使用技巧)【Modesim/Questasim】

一、写在前面

Modesim/Questasim是Mentor公司用来仿真VHDL/Verilog/Systemverilog的EDA工具,因其可以运行在Windows系统下,同时与各类FPGA的开发平台有较好的适配,所以是很多数字IC或FPGA初学者第一次接触到的仿真工具,但是简体中文互联网上对Modesim/Questasim的使用技巧的归类与整理不够详细,也不够准确,因此创作本前缀为【Modesim/Questasim】的系列文章,对此工具的使用技巧进行整理与归纳总结

当然modesim/Questasim更多的是提供给FPGA/数字IC领域初学者的仿真工具,对于IC设计来说,真正工作环境使用到的更多的是VCS,也希望有志于从事数字IC领域工作的人员尽早地将环境转移到linux下的VCS上去进行熟悉

二、如何去除Modesim的信号名前缀

2.1 默认仿真会显示该信号的来源模块

电脑屏幕较小时,每次都需要手动调整仿真信号窗口才可以看到具体的端口名称,影响仿真效率
在这里插入图片描述

2.2 依次选择wave——wave preference

在这里插入图片描述

2.3 Display Signal Path设置为1

在这里插入图片描述

2.4 波形串口仅显示本级端口名

在这里插入图片描述

三、其他Modesim/Questasim使用技巧

如何在仿真窗口查看信号频率
如何设置“tab”键缩进数量
如何去除仿真时的信号前缀
如何设置一个清爽的仿真窗口
如何使用delta cycle来观察相同边沿的先后顺序
如何使用Modesim查看状态机状态跳转
如何用命令行的形式进行仿真及do脚本的使用

  • 6
    点赞
  • 21
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 2
    评论
要进行脉冲信号源电路模块Modelsim仿真,需要进行以下步骤: 1. 编写Verilog代码并保存为 .v 文件。 2. 打开Modelsim软件,并创建一个新工程。 3. 将 .v 文件添加到工程中。 4. 编写测试文件,即模拟器的输入文件,将其保存为 .do 文件。 5. 在Modelsim中运行仿真,查看仿真结果。 下面是一个简单的脉冲信号源电路模块Verilog代码: ``` module pulse_gen( input clk, input rst, output reg pulse ); reg [7:0] cnt; initial cnt = 8'h00; always @(posedge clk or negedge rst) begin if (~rst) begin cnt <= 8'h00; pulse <= 1'b0; end else begin if (cnt == 8'hFF) begin cnt <= 8'h00; pulse <= 1'b1; end else begin cnt <= cnt + 1; pulse <= 1'b0; end end end endmodule ``` 其中,该模块包含一个时钟信号 clk、一个复位信号 rst、一个输出脉冲信号 pulse。在每个时钟上升沿时,计数器 cnt 加 1,当计数器的值达到 255 时,产生一个脉冲信号,并将计数器清零。当复位信号为低电平时,计数器和脉冲信号均被清零。 接下来,编写测试文件 pulse_gen_tb.do,用于测试脉冲信号模块: ``` # set simulation time vsim -t 100ps work.pulse_gen # reset pulse_gen module force -freeze sim:/pulse_gen/rst 1'b1 -wait 10ns force -freeze sim:/pulse_gen/rst 1'b0 -wait 20ns # test pulse_gen module force -freeze sim:/pulse_gen/clk 1'b0 -repeat 40 -wait 10ns force -freeze sim:/pulse_gen/clk 1'b1 -repeat 40 -wait 10ns # end simulation run -all ``` 在该测试文件中,首先设置仿真时间,然后对脉冲信号模块进行复位,之后测试模块的输出信号,并最终结束仿真。 最后,在Modelsim中运行仿真,查看仿真结果。可以通过波形图观察脉冲信号的产生情况,以验证模块的功能正确性。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

张江打工人

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值