fpga中求有符号数的绝对值

fpga中求有符号数的绝对值

这是网上找到的方法
function [7:0] abs;

  input [8:0] data_in;
 if(data_in[8]) abs=1+(~data[7:0]);
 else               abs=data[7:0];

endfunction
实际操作中用三态门判断:
assign abs=data_in[8]?1+(~data[7:0]:data[7:0] ;

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值