自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

pikaqiu的博客

记录分享FPGA学习过程

  • 博客(9)
  • 收藏
  • 关注

原创 FPGA学习之串口篇

FPGA 串口通信

2022-04-05 20:13:38 1903

原创 FPGA学习篇之状态机

FPGA 状态机

2022-04-04 19:28:57 1568

原创 FPGA学习篇之呼吸灯

FPGA 呼吸灯

2022-04-04 11:55:45 1477

原创 FPGA学习篇之分频器

FPGA学习篇之分频器文章目录FPGA学习篇之分频器前言一、偶数分频二、奇数分频三、奇数分频总结前言一、偶数分频二、奇数分频三、奇数分频总结

2022-04-04 10:33:12 3974

原创 FPGA学习篇之计数器

fpag 计数器

2022-04-03 19:39:27 6960

原创 阻塞赋值与非阻塞赋值

阻塞赋值与非阻塞赋值

2022-04-03 14:23:47 3300

原创 Latch与触发器

latch的产生与避免

2022-04-03 10:55:46 1513

原创 半加器与全加器

FPGA 半加器与全加器

2022-04-03 10:09:49 19417 4

原创 FPGA学习之按键篇

FPGA学习之按键篇文章目录FPGA学习之按键篇前言一、按键抖动与消抖原理

2022-04-02 12:38:25 3886

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除