VScode的列选

本文介绍了如何使用批量复制功能以及针对AXI总线接口的参数设置,包括数据宽度计算、burst长度、地址宽度等组合逻辑实现。展示了Verilog代码中的模块实例化过程。
摘要由CSDN通过智能技术生成

可以用来优化代码排布,让变量整齐成为一排

一、批量复制:

在1处左键单击,然后摁住SHIFT+ALT键的同时,左键单击2处,即可复制一整块的内容

如果所示

就可以复制了

二、批量输入

在1处左键单击,然后摁住SHIFT+ALT键的同时,左键单击2处,

正常输入即可给所有行添加assign关键字

module AXI_FULL_M_module #
(
    parameter  C_M_TARGET_SLAVE_BASE_ADDR	= 32'h40000000  ,
    parameter integer C_M_AXI_BURST_LEN	    = 16            ,
    parameter integer C_M_AXI_ID_WIDTH	    = 1             ,
    parameter integer C_M_AXI_ADDR_WIDTH	= 32            ,
    parameter integer C_M_AXI_DATA_WIDTH	= 32            ,
    parameter integer C_M_AXI_AWUSER_WIDTH	= 0             ,
    parameter integer C_M_AXI_ARUSER_WIDTH	= 0             ,
    parameter integer C_M_AXI_WUSER_WIDTH	= 0             ,
    parameter integer C_M_AXI_RUSER_WIDTH	= 0             ,
    parameter integer C_M_AXI_BUSER_WIDTH	= 0
)
    (
		input wire                                  M_AXI_ACLK      ,
		input wire                                  M_AXI_ARESETN   ,

		output wire [C_M_AXI_ID_WIDTH-1 : 0]        M_AXI_AWID      , 
		output wire [C_M_AXI_ADDR_WIDTH-1 : 0]      M_AXI_AWADDR    ,
		output wire [7 : 0]                         M_AXI_AWLEN     ,
		output wire [2 : 0]                         M_AXI_AWSIZE    ,
		output wire [1 : 0]                         M_AXI_AWBURST   ,
		output wire                                 M_AXI_AWLOCK    ,
		output wire [3 : 0]                         M_AXI_AWCACHE   ,
		output wire [2 : 0]                         M_AXI_AWPROT    ,
		output wire [3 : 0]                         M_AXI_AWQOS     ,
		output wire [C_M_AXI_AWUSER_WIDTH-1 : 0]    M_AXI_AWUSER    ,
		output wire                                 M_AXI_AWVALID   ,
		input wire                                  M_AXI_AWREADY   ,

		output wire [C_M_AXI_DATA_WIDTH-1 : 0]      M_AXI_WDATA     ,
        output wire [C_M_AXI_DATA_WIDTH/8-1 : 0]    M_AXI_WSTRB     ,
		output wire                                 M_AXI_WLAST     ,
		output wire [C_M_AXI_WUSER_WIDTH-1 : 0]     M_AXI_WUSER     ,
		output wire                                 M_AXI_WVALID    ,
		input wire                                  M_AXI_WREADY    ,
		input wire [C_M_AXI_ID_WIDTH-1 : 0]         M_AXI_BID       ,
		input wire [1 : 0]                          M_AXI_BRESP     ,
		input wire [C_M_AXI_BUSER_WIDTH-1 : 0]      M_AXI_BUSER     ,
		input wire                                  M_AXI_BVALID    ,
		output wire                                 M_AXI_BREADY    ,


		output wire [C_M_AXI_ID_WIDTH-1 : 0]        M_AXI_ARID      ,
		output wire [C_M_AXI_ADDR_WIDTH-1 : 0]      M_AXI_ARADDR    ,
		output wire [7 : 0]                         M_AXI_ARLEN     ,
		output wire [2 : 0]                         M_AXI_ARSIZE    ,
		output wire [1 : 0]                         M_AXI_ARBURST   ,
		output wire                                 M_AXI_ARLOCK    ,
		output wire [3 : 0]                         M_AXI_ARCACHE   ,
		output wire [2 : 0]                         M_AXI_ARPROT    ,
		output wire [3 : 0]                         M_AXI_ARQOS     ,
		output wire [C_M_AXI_ARUSER_WIDTH-1 : 0]    M_AXI_ARUSER    ,
		output wire                                 M_AXI_ARVALID   ,
		input wire                                  M_AXI_ARREADY   ,
		input wire [C_M_AXI_ID_WIDTH-1 : 0]         M_AXI_RID       ,
		input wire [C_M_AXI_DATA_WIDTH-1 : 0]       M_AXI_RDATA     ,
		input wire [1 : 0]                          M_AXI_RRESP     ,
		input wire                                  M_AXI_RLAST     ,
		input wire [C_M_AXI_RUSER_WIDTH-1 : 0]      M_AXI_RUSER     ,
		input wire                                  M_AXI_RVALID    ,
		output wire                                 M_AXI_RREADY
    );



/*********************caculate data width*******************/
    //example of this function
    //init: number=3 or b'11     clogb2=0 
    //cycle 1: number=b'1 clogb2=1
    //cycle 2: number=b'0 clogb2=2
    //cycle 3: number=b'0 is not >0 ,abondon this cycle, return clogb2=2
    //this is the addr width of number
    function integer clogb2(input integer number);
    begin
        for(clogb2= 0; number> 0 ; clogb2= clogb2+ 1)
            number= number>> 1;
    end
    endfunction

/*********************parameters****************************/
/*********************state machine*************************/
/*********************register******************************/
/*********************netlist*******************************/



/*********************combinatorial logic*******************/
//the length of each burst
assign M_AXI_AWLEN      = C_M_AXI_BURST_LEN             ;
//will decode into the width of data
assign M_AXI_AWSIZE     = clogb2(C_M_AXI_DATA_WIDTH/8-1);
//type of burst FIXED,INCR,WRAP respectively correspond to code 00,01,10
assign M_AXI_AWBURST    = 2'b01                         ;
//normally useless. so use 0
assign M_AXI_AWLOCK     = 'd0                           ;

assign M_AXI_AWCACHE    =
assign M_AXI_AWPROT     =
assign M_AXI_AWQOS      =
assign M_AXI_AWUSER     =



/*********************instantiation*************************/
/*********************process*******************************/
endmodule

  • 13
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值