边沿检测--两种verilog代码写法

1.将接收信号,延迟一个clk,然后将接收信号与延迟信号进行操作得到边沿检测结果。
上升沿检测:
always@(posedge clk)
rx1 <= rx;

assign pos = rx &&~ rx1;

2.设一个两比特寄存器,将接收数据一次存入寄存器,判断第0比特为1,第1比特为0,则检测到下降沿。
reg [1:0] neg_r = 0;
always @(posedge clk)
if(rst) neg_r <= 2’b0;
else neg_r <= {neg_r[0],rx};
assign neg_flag =(neg_r == 2’b0) ? 1’b1 : 1’b0;

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值