Pattern Failures Due to Timing Exception Paths

本文介绍了时序异常路径在ATPG(自动测试模式生成)过程中的作用,重点关注了虚假路径和多周期路径。时序优化过程中,STA工具会识别并处理这些路径。在创建测试模式前,ATPG工具会评估并处理可能导致不稳定转换的虚假和多周期路径。时序异常如设置时间和保持时间异常会影响测试响应和覆盖率,特别是跨时钟域的情况。使用SDC文件可以提供时序异常信息,从而更准确地仿真和优化测试模式。
摘要由CSDN通过智能技术生成

在ATPG之前,可使用STA工具在设计上执行时序优化。

这个process也会定义timing exception paths,包括以下:

  • False Path——在操作的功能模式下,path不能被敏化(当确定电路的时序性能时,STA工具忽略这些paths)。

  • Multicycle Path——具有超过一个clock cycle的信号传播延迟的path。
    在这里插入图片描述
    对于任何包含多个at-speed capture clock pulses的sequential pattern,都要评估timing exception paths的影响。包括以下pattern类型:

  • Clock sequential(broadside transition patterns和stuck-at patterns)

  • RAM sequential

  • Path delay

在创建test patterns之前,ATPG工具自动评估false和multicycle paths。当在ATPG仿真patterns期间,工具识别通过false或multicycle paths传播的transitions,并且当transitions由于timing exceptions而不稳定时,在结果patterns中在捕获寄存器上屏蔽它们(修改为X,为0或1,即预期值为0或者1,由于设置了false或者multicycle,使transition不能正常传播,将预期值设为X&#

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值