AXI总线(一)

AXI协议和AXI协议定义基础事务

  1. AXI总线五根线,read address、write address、read data、write data、write response。每根线都是单向的。

  2. 读写事务都有地址和控制信息在地址通道,描述被传输的数据性质。

  3. 读写事务结构图如下:
    在这里插入图片描述
    在这里插入图片描述

  4. 5 条独立的通道都包含一个信息信号和一个双路的 VALD、READY 握手机制。

  5. 信息源通过 VALID 信号来指示通道中的数据和控制信息什么时候有效。目地源用 READY 信号来表示何时能够接收数据。读数据和写数据通道都包括一个LAST 信号,用来指明一个事物传输的最后一个数据。

  6. 读数据通道传送着从设备到主机的读数据和读响应信息。读响应信息指明读事务的完成状态。

  7. 写数据通路传送着主机向设备的写数据。每八个数据都会有一个 byte lane ,用来指明数据总线上面的哪些 byte 有效。写响应通道提供了设备响应写事务的一种方式。这完成信号每一次突发式读写会产生一个。

  8. 主机和设备的接口和互联图如下:在这里插入图片描述

  9. 传输地址信息和数据都是在 VALID 和 READY 同时为高时有效。

  10. 突发式读的时序图如下:在这里插入图片描述
    当地址出现在地址总线后,传输的数据将出现在读数据通道上。设备保持 VALID为低直到读数据有效。为了表明一次突发式读写的完成,设备用 RLAST 信号来表示最后一个被传输的数据。

  11. 重叠突发式读时序
    在这里插入图片描述
    设备会在第一次突发式读完成后处理第二次突发式读数据。即主机一开始传送了两个地址给设备。设备在完全处理完第一个地址的数据后开始处理第二个地址的数据。

  12. 突发式写时序
    在这里插入图片描述
    这一过程的开始时,主机发地址和控制信息到写地址通道中,然后主机发送每一个写数据到写数据通道中。当主机发送最后一个数据时,WLAST拉高。设备接收完所有数据之后他将一个写响应发送回主机来表明写事务完成。

  13. **AXI协议支持乱序传输。**他给每一个通过接口的事务一个IDtag。协议要求相同IDtag的事务必须有序完成,而不同IDtag可以乱序完成。

信号描述

此节介绍信号描述,其中包括全局信号、写地址通道信号、写数据通道信号、写响应通道信号、读地址通道信号、读数据通道信号、低功耗接口信号。
本节所有表都是以32位数据总线、4位写数据闸门、4位ID端。

  1. 全局信号
    在这里插入图片描述
  2. 写地址通道信号
    在这里插入图片描述
  3. 写数据通道信号
    在这里插入图片描述
  4. 写响应通道信号
    在这里插入图片描述
  5. 读地址通道信号
    在这里插入图片描述
  6. 读数据通道信号
    在这里插入图片描述
    在这里插入图片描述
  7. 低功耗接口信号
    在这里插入图片描述

主从设备握手过程

本节介绍主从设备握手过程及READY和VALID握手信号关系及默认值。
全部五个通道使用相同的VALID/READY握手机制传输数据和控制信息。
传输源产生VALID信号表示何时数据或控制信息有效。
目的源产生READY来指明准备好接受数据或控制信息。
传输发生在VALID和READY同时为高时。

通道间的关系

地址、读、写、写响应通道间的关系是灵活的。
例如写数据可以出现在接口上早于其相关联的写地址。也可能写数据和写地址在一个周期中出现。
但两种关系必须被保持

  1. 读数据必须跟在其相关联的地址后
  2. 写响应必须跟在相关联的写事务的最后出现

握手信号之间的依赖性

读事务握手依赖关系
在这里插入图片描述

  1. 设备可以在ARVALID出现的时候再给出ARREADY信号,也可以先给出ARREADY信号,再等待ARVALID信号。
  2. 设备必须等待ARVALID和ARREADY信号都有效才能给出RVALID信号,开始传输数据。
    写事务握手依赖关系
    在这里插入图片描述
    1.主机必须先给出AWVALID或WVALID信号。从机才能给出AWREADY或WREADY。
    2.从设备可以等待信号AWVALID或WVALID有效或两个都有效之后再给出AWREADY。
    3.从机可以等待AWVALID或WVALID信号有效或者两个信号都有效之后再给出WREADY信号。
  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值