interface.sv

interface ahb_if(input    hclk);

logic    hrst_n;
logic    hclk;
logic    hsel;
logic    hready;
logic    hwrite;
logic[1:0]    htrans;
logic[2:0]    hburst;
logic[2:0]    hsize;
logic[31:0]   haddr;
logic[31:0]   hwdata;
logic[31:0]   hrdata;

clocking drv_cb@(posedge hclk);
    output    hsel;
    output    hready;
    output    hwrite;
    output    htrans;
    output    hburst;
    output    hsize;
    output    haddr;
    output    hwdata;
    input     hrdata;
endclocking

clocking mon_cb@(posedge hclk);
    input    hsel;
    input    hready;
    input    hwrite;
    input    htrans;
    input    hburst;
    input    hsize;
    input    haddr;
    input    hwdata;
    input    hrdata;
endclocking

modport driver(clocking drv_cb);
modport monitor(clocking mon_cb);

endinterface

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

Jealky

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值