vivado与modelsim仿真的方法

文章讲述了在使用Vivado和ModelSim进行联合仿真时遇到的库缺失问题,解决方法包括修改modelsim.ini文件,将缺失库添加到仿真文件中,以及如何在独立仿真中调整编译库路径。还提到使用TCL脚本进行波形管理和在不同仿真模式下的操作技巧。
摘要由CSDN通过智能技术生成

一、联合仿真

这种情况下会出现库缺失的情况,需要将modelsim安装目录下面的modelsim.ini文件设置去掉只读模式,这样每次联合仿真的时候,modelsim安装目录下面的ini文件会被重新写,这也许会导致一些新的工程有库缺失的情况

认为的解决方法:将缺失的库添加到vivado生成的的仿真文件simulation.do里面去,还没有测试,遇到了再试

二、独立仿真

1、完全独立仿真

新建文件夹,建立一个ip文件夹,将用到的ip里面的netlist.v或者netlist.vhd文件放进去,然后将所有的设计。仿真文件都放入到一个import文件夹,在modelsim里面新建一个新工程,将所有文件加入进去,compile all,等到所有文件编译通过

输入vsim -L unisims_ver -L unisim -L unifast_ver -L unimacro_ver -L secureip -L simprims_ver work.board glbl -voptargs=+acc
// note:unisim -L 放在第一个会出错

但是也遇到了complie的时候用导入的默认编译库编译不过的情况

这时候,将默认库改为当前工程vivado生成的仿真文件里面的对应库路径就可以编译通过

补充:

关于用tcl脚本进行仿真

用vivado 独立仿真的时候,可以通过添加 命令

add wave    -divider {axi_pcie_x8_i}
add wave    board/EP/axi_pcie_x8_inst/*

来进行仿真

用modelsim联合仿真的时候,可以在设置好的界面通过导出wave.do文件,来生成tcl脚本,

如果有很多个界面,在不同的wave.do文件之间添加view -new wave即可,此命令为打开新的波形窗口

vivado modelsim联合仿真FFT核的过程如下: 1. 首先,确保你已经安装了VivadoModelSim,并且配置好了开发环境。\[1\] 2. 打开Vivado,创建一个新的工程,并选择你的目标设备。 3. 在工程中添加FFT核。你可以在IP目录中找到FFT核的文件,一般路径为:xxxxx\ip\xfft_0。双击其中一个IP文件即可打开IP核所在路径。\[3\] 4. 生成FFT_IP核。在Vivado中,选择“Generate IP”选项,然后按照向导的指示进行操作,选择FFT核并生成IP核。 5. 配置仿真文件和激励文件。在IP核的目录中,找到文件xfft_0.vhd,这就是我们需要仿真的IP核文件。将该文件拷贝到一个新的目录,例如D:\TEST,作为我们的仿真工程目录。\[3\] 6. 配置ModelSim。打开ModelSim,创建一个新的工程,并将仿真工程目录添加到工程中。 7. 仿真。在ModelSim中,编译仿真工程,并运行仿真。你可以使用Matlab进行验证。\[1\] 请注意,这只是一个大致的步骤指南,具体的操作可能会因为你的具体环境和需求而有所不同。建议你参考VivadoModelSim的官方文档或者其他详细的教程来进行具体操作。\[2\] #### 引用[.reference_title] - *1* *2* *3* [Modelsim仿真xilinx IP核 (FFT IP核为例)](https://blog.csdn.net/peppap/article/details/112410845)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insert_down28v1,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值