Verilog中dump函数、具体用法及应用场景

前两天在工作中需要用到verilog的dump函数,一番百度之后发现网上只有一种内容,但是很多人都在传播那一份博文,谁是原创也说不清楚,原博文内容也写的模模糊糊,仅仅具有极有限的参考价值。

下面我来结合实际应用,具体介绍一下工作中的常用dump函数及应用场景

Verilog提供一系列系统任务用于记录信号值变化,常见的格式有vcd,fsdb等。
.fsdb文件常用于verdi等软件,可以用来做仿真后查看波形。
.vcd文件可以用于板级验证及芯片的验证。

$dumpfile(NUM,"file.fsdb"); 
/*NUM是dump波形的层级,具体来说,如果NUM = 0,则说明dump层级为所有层级*/
$dumpfile(NUM,"file.vcd"); 
/*.vcd格式是test pattern所用到的一种固定格式,一般可以由我们的
  • 5
    点赞
  • 21
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值