<Verilog实现除法器> Verilog实现恢复余数(restoring)除法器

一,内容介绍除法器是数字电路中的基础电路之一,也是CPU计算单元的核心功能之一。下面我们用verilog实现三种常用的除法器。目前:Verilog实现恢复余数(restoring)除法器下一篇:Verilog实现不恢复余数(non-restoring)除法器写这些博文的动机:没别的意思,网上互相抄的东西太多了,结果连个能用的代码都没有,不能忍,所以自己写的。二,除法器实现...
摘要由CSDN通过智能技术生成

一,内容介绍

除法器是数字电路中的基础电路之一,也是CPU计算单元的核心功能之一。下面我们用verilog实现三种常用的除法器。

目前:Verilog实现恢复余数(restoring)除法器
Verilog实现不恢复余数(non-restoring)除法器
Verilog实现牛顿迭代法除法器
verilog实现不恢复余数(non-restoring)除法器之单时钟周期改进版

二,恢复余数(restoring)除法器实现

module RS_DIV #(parameter WIDTH = 32)
(
	input				   clk_i,
	input				   rst_i,
	input 				   data_valid_i,
	input 	   [WIDTH-1:0] dividend_i,
	input 	   [WIDTH-1:0] divisor_i,
	output reg 		 	   qr_valid_o,
	output reg [WIDTH-1:0] quotient_o,
	output reg [WIDTH-1:0] remainder_o
);

reg [2*WIDTH  :0] remainder [WIDTH-1:0];
reg [2*WIDTH-1:0] divisor   [WIDTH-1:0];
reg [  WIDTH-1:0] quotient  [WIDTH-1:0];
reg               dat_valid [WIDTH-1:0];
genvar m;
//get valid input data
always @ (posedge clk_i or posedge rst_i) begin
	if (rst_i) begin
		remainder[0] <= {
   2*WIDTH+1
  • 1
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 4
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值