quartus Ⅱ创建第一个VHDL程序(例子:求输入的4位二进制数的补码)

以 VHDL程序—求输入带符号的4位二进制数的补码 为例

前言

作者使用的是quartus Ⅱ9.0(32 Bit)

创建一个VHDL程序步骤

1、选择File->new project wizard
在这里插入图片描述
2、选择new project wizard后,点击next后填写项目名称(不要出现空格)
在这里插入图片描述
3、File name可以不写(一般默认不写)
在这里插入图片描述
4、选择硬件型号,看电路板上的芯片型号选即可,当然不需要用到电路板的也可以不选,直接点击next跳过。
在这里插入图片描述
5、之后一直next,后finish。
在这里插入图片描述
6、接着,选择File->new->VHDL File
在这里插入图片描述
7、在空白这你就可以编写自己的VHDL程序了!
在这里插入图片描述
8、编写好VHDL程序后,先保存
在这里插入图片描述
9、点击开始编译
在这里插入图片描述
10、可能会出现的问题,实体名和项目名不一致、17和18行datain和前面第五行的data_in不一致
在这里插入图片描述11、把之前的实体名assignment_419改成现在的项目名test,datain改成data_in,即可编译成功
在这里插入图片描述
在这里插入图片描述
12、模拟程序输入输出,选择File->new->Vector Waveform File
在这里插入图片描述
13、该VHDL程序为求输入的4位二进制数的补码,补码的求法为正数的补码为它原码(如:某一正数原码为0101,那么它的补码也为它的源码0101),负数的补码是其原码取反加一(如:某一负数的原码为1101,那么它的补码是1010+1=1011),设置变量,提前设好输入值。注意:变量名要填写正确!
在这里插入图片描述
14、结果如下
在这里插入图片描述

评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

eeeasyFan

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值