Quartus与Modelsim联合仿真

Quartus和modelsim联合仿真

一、实验目的
Quartus+modelsim的联合仿真
二、实验过程
1、打开quartus新建一个工程
2、在选择所保存的文件夹后并进行芯片选择Simulation中选择Modelsim保存完成
3、打开Verilog,将我们写的代码输入并保存在自己刚才保存的文件夹中
module test(
input wire [7:0] a,
input wire [7:0] b,
output reg [8:0] out
);
always @ (*)begin
out = a+b;
end
endmodule
在这里插入图片描述

4、选择processing中的start中的Start Text Bench Writer
并进行编译,找到自动生成的text bench文件
在这里插入图片描述

5、对a b进行赋值
a=8’d 3;
b=8’d 4;
#100_000;
a=8’d 13;
b=8’d 14;
#100_000;
a=8’d 23;
b=8’d 24;
#100_000;
$stop;
在这里插入图片描述

6、选择tool中的options中的EDA Tool Options,把modusilm的地址设置一下,将自动生成的text添加到text bench name和text level module in text bench 中
7、点击tools里面的Run Simulation Tool中的RLT Simulation进行联合仿真即可在这里插入图片描述
三、实验视频

Quartus modelsim联合仿真

  • 0
    点赞
  • 10
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值