自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(9)
  • 收藏
  • 关注

原创 使用fulladd模块的Verilog代码来描述一个全加器电路。

1.实验目的:使用fulladd模块的Verilog代码来描述一个全加器电路。2.实验内容:用两个不同的方法,使用fulladd模块的Verilog代码来描述一个全加器电路。3.实验原理:按照书中和视频上的内容,书写和运行代码,完成电路。4实验工具:Quartus ii软件和modlsim软件。5.实验截图:第一个代码实验结果截图第二个代码实验结果截图实验结论:两次实验结果一致,证明用Verilog描述一个电路通常不只一种方法。6.实验视频:请下载哔哩哔哩动画打开此网址:7.实

2021-07-01 16:13:38 620

原创 数电期末实验

一、实验题目:教材书P158 图5.501.实验目的:2.实验内容:3.实验代码:module shift4(R,L,w,Clock,Q);input [3:0]R;input L,w,Clock;output reg [3:0]Q;always @(posedge Clock)if(L)Q<=R;elsebeginQ[0]<=Q[1];Q[1]<=Q[2];Q[2]<=Q[3];Q[3]<=w;endendmodule4.实验原理:利用

2021-07-01 16:12:27 496

原创 Modelsim工程仿真和主从D触发器的门级建模

1.实验目的:Modelsim工程仿真和主从D触发器的门级建模2.实验内容:根据实验代码进行Modelsim工程仿真和主从D触发器的门级建模3.实验代码:Modelsim工程仿真:module fulladd(sum,c_out,a,b,c_in) ;output sum,c_out;input a,b,c_in;wire s1,c1,c2;xor (s1,a,b) ;and (c1,a,b) ;xor (sum,s1,c_in) ;and (c2,s1,c_in) ;or(c_o

2021-05-28 16:24:01 240

原创 译码器的门级建模

1.实验目的:使用modlsim实验,建立一个2-4译码器的门级模型。2.实验内容:参照代码,然后用modlsim进行门级建模。3.实验原理:按照书中和视频上的内容,书写和运行代码,完成门级建模操作。4实验工具:modlsim软件。5.实验截图:6.实验视频:请打开此网址:https://www.toutiao.com/i6964399259433189919/?tt_from=mobile_qq&utm_campaign=client_share&timestamp=16

2021-05-21 19:11:47 164

原创 ModelSim基本仿真流程

1.实验目的:使用modlsim进行基本仿真。2.实验内容:参照代码,然后用modlsim进行基本仿真3.实验原理:按照视频上的内容,书写和运行代码,完成基本仿真操作。4实验工具:modlsim软件。5.实验截图:6.实验视频:请打开此网址:https://www.toutiao.com/i6964393506630533646/?tt_from=mobile_qq&utm_campaign=client_share&timestamp=1621572198&app

2021-05-21 17:08:22 297

原创 2021-05-07

1.实验目的:进行4 位加法器的门级建模,并仿真测试。2.实验内容:参照代码,然后用Quartus ii和modlsim进行联合仿真3.实验原理:按照书中和视频上的内容,书写和运行代码,完成联合仿真操作。4实验工具:Quartus ii软件和modlsim软件。5.实验截图:6.实验视频:请打开此网址:https://www.toutiao.com/i6959408804102504997/?tt_from=mobile_qq&utm_campaign=client_sha

2021-05-07 21:47:00 110 1

原创 2021-05-07

1.实验目的:对Verilog Modelsim仿真2.实验内容:通过实例来完整的实现VHDL设计的仿真过程。3.实验原理:按照书中和视频上的内容,书写和运行代码,完成仿真操作。4实验工具:modlsim软件。5.实验截图:6.实验视频:请打开此网址:https://www.toutiao.com/i6959418294134653478/?tt_from=mobile_qq&utm_campaign=client_share&timestamp=1620367283&

2021-05-07 21:26:29 115

原创 2021-04-15

1.实验目的:下载Quartus ii软件和modlsim并进行联合仿真。2.实验内容:参照书中的代码,然后用Quartus ii和modlsim进行联合仿真3.实验原理:按照书中和视频上的内容,书写和运行代码,完成联合仿真操作。4实验工具:pc机和Quartus ii软件和modlsim软件。5.实验截图:6.实验视频:请下载哔哩哔哩动画打开此网址:https://b23.tv/5LIB8T7.实验代码:module examplel (x1,x2,s,f);input

2021-04-15 16:05:43 67

原创 对Quartus ii 进行仿真实验

1.实验目的:对Quartus ii 进行仿真实验。2.实验内容:对教材《数字逻辑基础与Verilog设计》上P38图2.37实验进行仿真3.实验原理:Verilog代码4.实验截屏:书本P38页实验图实验过程截屏5.实验视频:前往哔哩哔哩观看实验视频:https://b23.tv/ttcxwi6.软件下载网站:https://pan.baidu.com/s/1ZT0ovNAAZ_j3jTGzVCYfbQ提取码:5jf27.软件安装视频:教学视频 Quartus II 软

2021-03-17 20:48:08 390

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除