参考正点原子教程,硬件:EBAZ4025,使用软件vidado2023.2,学习记录。
一、建立工程
直接参考EBAZ4025学习笔记02_ebaz4205 vivado-CSDN博客。
二、代码
1.工程介绍
使用V13作为输入,W13作为输出。
2.led.v
module led(
input key , //输入按键
output led //输出led灯
);
//*****************************************************
//** main code
//*****************************************************
//上电按键默认高电平,led灯保持常灭
//按键被按下,按键值为低电平,led灯被点亮
assign led = ~key; //将按键的值取反后赋值给led灯
endmodule
3.led.xdc
set_property PACKAGE_PIN V13 [get_ports key]
set_property PACKAGE_PIN W13 [get_ports led]
set_property IOSTANDARD LVCMOS33 [get_ports key]
set_property IOSTANDARD LVCMOS33 [get_ports led]
三、代码
运行效果