基于Robeo EDA状态机--可乐机售卖

这篇文章详细介绍了使用Verilog语言编写的简单有限状态机(simple_fsm)设计,包括输入输出端口声明、状态定义、状态转移逻辑以及时序控制。测试模块(simple_fsm_tb)展示了如何驱动状态机并观察输出变化。
摘要由CSDN通过智能技术生成

module simple_fsm(
	clk,
	reset,
	pi_money,
	po_cola);

	//---Ports declearation: generated by Robei---
	input clk;
	input reset;
	input pi_money;
	output po_cola;

	wire clk;
	wire reset;
	wire pi_money;
	reg po_cola;

	//----Code starts here: integrated by Robei-----
	//状态机
	parameter IDLE = 3'b001;
	parameter ONE = 3'b010;
	parameter TWO = 3'b100;
	//reg define
	reg [2:0]state;
	//时序逻辑--描述状态的转移
	always @(posedge clk or negedge reset)
	if(reset == 0)
	  state <= IDLE;
	else begin
			case (state)
	  IDLE:		if(pi_money == 1'b1)
															state <= ONE;
													else state <= IDLE;
	  ONE:  if(pi_money == 1'b1)
															state <= TWO;
													else state <= ONE;
	  TWO: if(pi_money == 1'b1)
															state <= IDLE;
													else state <= TWO;
	  default:state <= IDLE;
	  endcase
	end 
	//时序逻辑--描述输出信号
	always@(posedge clk or negedge reset)
	if(reset == 0) begin
	  po_cola <= 0;
	end
	else if(state == TWO && pi_money == 1) begin
	  po_cola <= 1'b1;   end
	else  begin
	  po_cola <= 1'b0;
	end
	
	
	
endmodule    //simple_fsm

module simple_fsm_tb();

	reg clk;
	reg reset;
	reg pi_money;
	wire po_cola;

	//----Code starts here: integrated by Robei-----
	initial 
	begin 
	clk = 1; 
	end
	always#1 clk = ~clk;
	initial begin
	reset = 0;
	pi_money = 0;
	#1
	reset = 1;
	#2
	pi_money = 1;
	#4;
	pi_money = 0;
	#6
	pi_money = 1;
	#2
	pi_money = 0;
	#2
	pi_money = 1;
	#2
	pi_money = 0;
	 $finish;
	end
	
	
	initial begin
		$dumpfile ("D:/Robei_project/Robei_study_simple_fsm/simple_fsm_tb.vcd");
		$dumpvars;
	end
	//---Module instantiation---
	simple_fsm simple_fsm1(
		.clk(clk),
		.reset(reset),
		.pi_money(pi_money),
		.po_cola(po_cola));

endmodule    //simple_fsm_tb

评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值