linux系统下questasim 10.7安装教程

网上关于这个资料比较少,自己亲自操作一遍以后,发现会遇到很多的问题,特此记录一下,方便各位同学操作,也方便自己以后阅读。如果你觉得对你有帮助,请先关注评论再给个赞吧~

关于安装:直接运行install 就行了,但是切记看好你的版本!乌班图多大内存你装的是32位还是64位!切记!!!!
我用的是乌班图,1g内存,装的是32位的questasim。
PoJie :crack
把附件questasim10_crack中提供的linux文件夹下的patch_2010和sfk复制到questasim的<安装目录>/questasim/linux/mgls/lib里,然后打开终端,运行patch_2010.这时可能会出错(根据系统不同可能出现不同的情况),在库中找不到libstdc++.so.5。libstdc++.so.5在附件中(不出错的话就不用了),下载后复制到/usr/lib中去。这时运行patch_2010就行了,我的系统是出现的以下信息
[total hits/matching patterns/non-matching patterns]
[001/1/0] mgls_asynch
[001/1/0] mgcld
error: failed to read+write: sfk - skipping
4 files checked, 2 changed.
1 errors occurred.
不过这个时候已经可以了。把环境变量设置好,license的产生看下面,这里加入lincense文件,看好它的路径下面要用。环境变量在主文件夹中的.bashrc文件加入如下信息(注意bashrc是隐藏文件 ls -a 可以显示出来)
export PATH=$PATH:/usr/questasim_10/questasim/linux #根据自己的安装目录改变
export LM_LICENSE_FILE="/usr/questasim_10/license.dat" #license 具体的放置路径
然后设定好环境变量,执行/Questa10/questasim/linux/下面的lmgrd,他就会提示你找到license。
大功告成,在终端里运行vsim就OK,可以看到你期盼已久的正常启动界面啦

出现的问题:
在这里插入图片描述
你会发现根本不行,跟步骤不一样啊~我找了好久终于发现是文件出错了,我也是醉了:
在这需要用vim进入到patch_2010里面在最后面加上一个空格保存退出
在这里插入图片描述
你会发现虽然check 还是不一样啊,这关于到权限问题,看报错的内容是不能读写,这个时候需要加上sudo:
在这里插入图片描述
关于秘钥的问题,最好不要在win下运行,如下图所示,因为每个人的环境不一样而且不是每个SEVER最后的那个数字都是1717,所以还是把MentorKG放在linux 下,然后安装wine,用wine运行,产生mentor.txt文件,然后更改成license.dat。
原博客连接:http://blog.sina.com.cn/s/blog_537a285a01014zn8.html
在这里插入图片描述
另外参考了http://bbs.eetop.cn/thread-870478-1-1.html,遇到另外什么问题这里可以看一下。

到这里就结束了,因为遇到的问题千奇百怪,要看报错的信息是啥,然后根据信息查找相应的解决办法,我基友装了两周,我嘲笑他是个菜鸡,结果我前后装了快一周~所以做技术还是踏踏实实的吧,不要着急,如果你觉得本文对你有帮助的话,请给个赞和关注再给个关注吧。欢迎各位老铁进行交流,欢迎各位大佬批评指正。
另外我的fpga的全部资料和笔记在这里哦(欢迎浏览查看):https://blog.csdn.net/weiyunguan8611/article/details/100934712

  • 10
    点赞
  • 30
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 6
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 6
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

pose_Ma

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值