基于verilog的非归零编码、非归零反转编码、归零编码(RZ)

非归零编码
编码数学模型:
1.当前时钟,输入为低,输出则为低;输入为高,输出则为高
2.逻辑0和逻辑1通常用于调制信道信号的不同状态,例如-12V和+12V
3.由于没有使信道归零的逻辑和状态,故称为NRZ Code
4.信道简单,易于实现,但信道的传输特性较差

在这里插入图片描述
在这里插入图片描述
非归零反转编码(NRZI)
编码数学模型:
1.当前时钟,若输入为低,输出则保持前一拍的电平逻辑
2.当前时钟,若输入为高,输出电平逻辑则发生翻转
3.NRZI的信道特性得到改善,信号能保证一定的翻转率
在这里插入图片描述
在这里插入图片描述
编码数学模型:
1.当前时钟,若输入为低,输出为低
2.当前时钟,若输入为高,输出前半拍为高,后半拍为低
3.RZ编码可用其中的一个逻辑将信道归零,例如逻辑零。信道特性较好,但需要2倍的频道带宽
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

  • 2
    点赞
  • 19
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

pose_Ma

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值