数字IC设计
长弓的坚持
这个作者很懒,什么都没留下…
展开
-
数字IC开发软件介绍
刚毕业的时候,我年少轻狂,以为自己已经可以独当一面,庙堂之上所学已经足以应付业界需要。然而在后来的工作过程中,我认识了很多牛人,也从他们身上学到了很多,从中总结了一个IC设计工程师需要具备的知识架构,想跟大家分享一下。I. 技能清单作为一个真正合格的数字IC设计工程师,你永远都需要去不断学习更加先进的知识和技术。因此,这里列出来的技能永远都不会是完整的。我尽量每年都对这个列表进行一次更新。如果你觉得这个清单不全面,可以在本文下留言,我会尽可能把它补充完整。语言类 Verilog-2001.转载 2020-11-28 20:18:15 · 5736 阅读 · 2 评论 -
怎样使用Debussy+ModelSim快速查看前仿真波形
引子:ModelSim是HDL仿真软件,Debussy是波形查看软件;搭配使用,相当爽。此处所谓快速查看前仿真波形仅为抛砖引玉,大家不要拘泥于此。两款软件的功能都很强大,请自行研究。注:本篇博文的软件环境为:Debussy 5.3v9 + Modelsim SE 6.5配置篇1 安装、和谐软件。略。2 拷贝文件..\Novas\Debussy\share\PLI\modelsim_pli\WINN...转载 2018-05-21 13:25:09 · 1336 阅读 · 0 评论 -
ModelSim几种不同的版本的区别
ModelSim分几种不同的版本:SE、PE、LE和OEM,其中SE是最高级的版本。而集成在 Actel、Atmel、Altera、Xilinx以及Lattice等FPGA厂商设计工具中的均是其OEM版本。MODELSIM SE是主要版本号,也是功能最强大的版本,支持 ModelSim分几种不同的版本:SE、PE、LE和OEM,其中SE是最高级的版本。而集成在 Actel、Atm...转载 2018-05-25 22:25:03 · 23750 阅读 · 2 评论 -
数字IC设计各种仿真波形文件
一、仿真波形文件介绍1. Wlf文件:WLF波形日志文件,是modelsim的专用文件。这个wlf文件只能是由modelsim来生成,也只能通过modelsim来显示。在用modelsim做仿真时,仿真结束都会生成一个*.wlf的文件(默认是vsim.wlf)。下次就可以通过通过modelsim直接打开这个保存下来的波形。vsim -view vsim.wlf -do run.do其中run.do...转载 2018-05-25 22:27:28 · 1915 阅读 · 0 评论 -
modelsim与debussy联调环境的搭建
为了方便查看波形,找来了一款软件——debussy,它的一个优点是任你查看设计内信号,只需一个波形文件,如FSDB文件。而不用像modelsim那样想看某些信号,添加了之后还要重新编译仿真,浪费了很多时间,但modelsim的编译仿真功能很强大。那何不把它们的优点结合起来呢?从这个目的出发,下面即将介绍modelsim与debussy联调环境的搭建。 首先是modelsim和debussy...转载 2018-05-22 13:11:48 · 948 阅读 · 0 评论 -
Modelsim+Debussy联合使用
首先电脑上要安装好这两款软件并破解,我的电脑上目前安装的是Modelsim6.5e和Debussy5.4V9。 1、拷贝文件..\Novas\Debussy\share\PLI\modelsim_pli\WINNT\novas.dll至文件夹..\modeltech_6.5\win32 2、取消文件..\modeltech_6.5\modelsim.ini的只...转载 2018-05-22 13:17:50 · 1855 阅读 · 0 评论 -
通过文件读写方式实现Matlab和Modelsim的联合仿真
虽然Modelsim的功能非常强大,仿真的波形可以以多种形式进行显示,但是当涉及到数字信号处理的算法的仿真验证的时候,则显得有点不足。而进行数字信号处理是Matlab的强项,不但有大量的关于数字信号处理的函数,而且图形显示功能也很强大,所以在做数字信号处理算法的FPGA验证的时候借助Matlab会大大加快算法验证的速度。 关于Matlab和Modelsim联合仿真,我从网上看到两种方法,一...转载 2018-05-22 23:41:39 · 762 阅读 · 0 评论 -
IC设计通过system c 建模和 rtl级的有什么区别
对于IC项目的设计、验证各个阶段,都是在玩弄model,只不过是大家的观看视角不同而已。有些人喜欢华丽外表的model,有些人关注身披薄纱的、或一丝不挂的。SystemC是一种建模语言,可以对软硬件系统进行建模。描述抽象层次可以分为算法级(ALM)、系统结构级(SAM)、事务级(TLM)和RTL;标准的C/C++可以对系统的算法进行描述,但是无法模拟硬件的并发性行为,即无法评估硬件系统架构。Sys...转载 2018-05-22 23:48:26 · 7052 阅读 · 0 评论 -
MATLAB 与Modelsim之间通过Linker的联合仿真
Link for ModelSim介绍** Link for ModelSim®是一个把MATLAB/Simulink和针对FPGA 和ASIC的硬件设计流程无缝连结起来的联合仿真的接口扩展模块。它提供一个快速的双向连接将MATLAB/Simulink和硬件描述语言仿真器Modelsim连接起来。使二者之间直接的联合仿真成为可能,并且让你更高效的在MATLAB/Simulink中验证Mod...转载 2018-05-22 23:57:40 · 4577 阅读 · 2 评论 -
Matlab和Modelsim联合仿真的配置
软件版本:Matlab R2012a x64Modelsim SE-64 10.2c安装好以上版本的软件后,不用做其他设置,直接进行下面的步骤。如果matlab使用到S-function函数的话,需要安装和设置相应编译器等,在此不再详细描述。操作步骤1. 在matlab工作空间中输入cosimWizard,回车后弹出如下对话框:如上图,如果正确安装了Modelsim软件,Matlab会自动...转载 2018-05-22 23:58:43 · 2224 阅读 · 2 评论 -
modelsim-win64-10.1c的安装和基本使用
现在好多同学的操作系统都是64位的win8或者win10系统,在学习vhdl,安装软件时可能会遇到一些问题,下面我将介绍一些软件的安装以及基本使用的知识,希望能够帮到大家~(1)modelsim-win64-10.1c的安装我使用的系统是win10 64位专业版,在我的机器上成功安装并运行了modelsim,下面我说一下安装方法1 运行modelsim-win64-10.1c-se....转载 2018-06-24 11:39:59 · 14427 阅读 · 12 评论 -
搭建Modelsim SE仿真环境-使用do文件仿真
本章我们介绍仿真环境搭建是基于Modelsim SE的。Modelsim有很多版本,比如说Modelsim-Altera,但是笔者还是建议大家使用Modelsim-SE,Modelsim-Altera实际是针对Altera 的OEM版本,它事先将Altera的一些IP核仿真库添加到了工具中,但功能上有一些缩减。而Modelsim-SE需要自己手动添加这些仿真库,但是功能更全,而且工作中,工程师更倾...转载 2018-05-25 00:03:37 · 653 阅读 · 0 评论 -
modelsim仿真中 do文件的写法技巧
Modelsim之 DO文件简介 网上的关于DO文件的编写好像资料不多,比较杂,所以本人总结一下常用的简单语法,方便大家查看。其实本人也刚接触DO文件没多久,有纰漏很正常,欢迎指正批评,互相学习。PS:写得有点乱 还有一个值得注意的是 我在看到这篇文章的时候我正在仿真一个verilog文件,文件中调用了一个ROM , 但是我怎么仿真 rom的输出文件都有问题, 经过一个QQ好友的...转载 2018-05-25 00:02:54 · 12929 阅读 · 3 评论 -
verdi windows版本[使用debussy 5.4]
linux下习惯用cadence的ncverilog电路图方式,分析代码信号的drive和load。windows下,可以学习一下debussy(verdi前身)。听说大公司,都推荐verdi,所以即便不是最喜欢,也要了解。因为很多人给你讲解代码的时候,他们使用verdi,fxxk。so,let’s enjoy it。改变不了环境,就适应它。 百度云,真是个好东西。...转载 2018-05-05 12:38:29 · 1971 阅读 · 0 评论 -
Novas Verdi、Debussy ,Synopsys VCS,Candence NC-Verilog,Mentor Graphics工具介绍
Verdi=Debussy是Novas公司的debug工具; SynopsysVCS,Candence NC-Verilog,Mentor Graphics波形仿真工具(1) Verdi 和Debussy是 Novas公司的debug工具,不是仿真工具,本身不具备仿真功能;(2) Verdi 是Debussy的升级版;两者大同小异; Verdi是Linux版本;Debussy是...转载 2018-05-05 16:52:02 · 7364 阅读 · 1 评论 -
RTL设计推荐的各步骤 推荐工具 适合VHDL verilog
初学EDA时候,大家都在找工具而烦恼,有些工具不是没有license 就是不会设置,要不就是不会用,还担心这个以后有人用么? 所以,我通过自己的体会,推荐大家给大家一个学习时候的流程,和一个业界用流程,目的当然是为了就职(简历里吹牛用)。 废话不多说 现在开始说明 对于初学者,建议使用如下流程 1 coding时候的工具推荐 ultrae...转载 2018-05-05 22:01:12 · 4615 阅读 · 0 评论 -
Asic设计参考工具与参考文档
一、前言 对于RTL级的Asic设计所涉及到的软件是非常之多的,笔者也并没有每一个都使用过。给出软件百度云链接如下,大家可按需下载,有关软件和谐问题,请在EETOP上搜,或者留下你的邮箱;有关软件的最新userguide(2016版)EEOP上也是有的。Synopsy公司软件百度云:https://pan.baidu.com/share/init?surl=T7zxs#list/path=%...转载 2018-05-05 22:08:18 · 1918 阅读 · 1 评论 -
EDA工具介绍(数字设计)
前记:在eetop论坛,或是其它站点上看到了很多介绍IC或者FPGA设计工具系统的资料,但是感觉都不是很综合。所以这里尝试做一个2012版的EDA工具介绍。FPGA设计基本设计工具,QUARTUS, ISE, Synplify pro, Modelsim.主流FPGA器件主要是两家,Altera和Xilinx。所以两家的QUARTUS和ISE是FPGA设计流程中的基础。当然synplify pro...转载 2018-05-05 22:23:28 · 8630 阅读 · 1 评论 -
ASIC开发设计流程
ASIC开发设计流程 1. 使用语言:VHDL/verilog HDL 2. 各阶段典型软件介绍: a) 输入工具: Summit Summit 公司 b) 仿真工具: VCS, VSS Synopsys 公司 c) 综合器: DesignCompile, BC Compile Synopsys 公司 d) 布局布线工具: Dracula, Diva Cadence 公司 e) 静态时序分析:...转载 2018-05-13 11:04:43 · 856 阅读 · 0 评论 -
ASIC设计开发流程
ASIC设计流程 项目策划形成项目任务书(项目进度,周期管理等)。 流程:【市场需求--调研--可行性研究--论证--决策--任务书】。 系统说明及行为描述 确定设计对象和目标,进一步明确芯片功能、内外部性能要求,参数指标,论证各种可行方案,选择最佳方式,加工厂家,工艺水准。系统说明是芯片设计到逻辑和布局的第一步。它是在设计付诸实践之前来进行的,抽象地描述了被设计的数字电路的功能、端口...转载 2018-05-13 11:57:01 · 7681 阅读 · 1 评论 -
代码版本管理工具介绍
笔者有幸接触过以下几种常用的配置管理工具:VSS、SVN、Clearcase,在此做一个小小的总结,并Ctrl+C了以前一些网友的对比评论,不一定准确,只是希望通过这些总结对自己和初学者有所帮助。如果想进一步了解这些工具,请baidu和google,如果想深入了解,敬请到图书馆借书并实践。一、 Visual Source Safe( 简称 VSS )VSS是微软的产品,是配置管理的一种很好的入门级...转载 2018-05-06 22:11:06 · 5959 阅读 · 3 评论 -
FPGA 和ASIC开发的区别
FPGA和ASIC区别很多。ASIC的逻辑通常远远大于FPGA的,门数上有数量级的差别,运行时钟也远远高于FPGA。而且,ASIC只有一次机会,FPGA因为可以编程,所以coding的灵活性相对提高。仅仅从RTL设计上来说:(1)ASIC更趋于保守,对逻辑的任何改动都要三思,并且要做备选的选择,以防改错。RTL的任何修改几乎都是增量修改,即便以前的逻辑错了,也不会删掉,而是多做一个分支。(2)AS...转载 2018-05-13 15:29:41 · 21806 阅读 · 5 评论 -
利用do文件方式进行modelsim仿真
举例的工程是一个加法器,待测试功能模块是add.v,测试激励是tb_add.v,do文件是tb.do下面直接附上主要步骤:(1)首先新建文件夹,如sim_add,在该文件夹下再新建3个文件夹,分别是:sim、tb、srcsim:modelsim的工程文件存放,如tb.dotb:测试激励文件存放,如tb_add.vsrc:待测试的模块代码(*.v、仿真库文件.v、IP模块文件.v),如add.v其中...转载 2018-05-25 00:02:20 · 1390 阅读 · 0 评论 -
ASIC设计的一些软件
zz : http://bbs.eetop.cn/thread-323935-1-1.htmlEDA工具梳理(持续更新中)“工欲善其事,必先利其器”。EDA工具是IC开发者进行数字系统开发的左膀右臂,但EDA工具五花八门,所以对各种EDA工具做一个总体的归类和描述,显得尤为重要;与此同时,也能让IC初学者和设计者对IC行业有个整体的认识把握。总体来讲,EDA工具可以又2种分类方法:按公司分类和按功...转载 2018-05-10 13:30:01 · 2993 阅读 · 0 评论