时序设计中的异步时钟寄存器同步

今天在Verilog设计中遇到一个这样的问题:
        有两个时钟,分别是clk和clkX8,clk由clkX8八分频得到。在设计中有多组寄存器需要周期性的置位,置位信号a属于clk时钟域中的信号,而触发器时钟端输入为clkX8。
        奇怪的现象发生了,每次用置位信号a对寄存器组R进行置位后,寄存器组R输出值可以置成预期值,但是一旦置位信号a撤销,寄存器组R尚未达到赋值条件便立刻又被赋值,这与设计初衷是相悖的。
        然后笔者百思不得其解,无奈只好查看RTL级视图。果不其然,在RTL级视图中,笔者看到生成的电路并非所要的设计预期,是不能完成Verilog所设计的电路功能的!
  • 1
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值