基于FPGA的目标颜色识别追踪二——目标颜色提取

目标颜色提取

对预处理之后的图像进行边界提取,形心定位,画框。目前只能对一个目标进行识别。
基于FPGA的目标颜色识别追踪一——图像预处理

形心坐标的获取

边沿检测(一帧图像的数据有效上升沿,列上升沿,场上升沿,场下降沿),以便后续的计数操作;
有效点计数,有效点相对应的行列计数器;


//列坐标计数器,数据在行的位置
always@(posedge clk or posedge reset_p)
    if(reset_p)
        h_count <= 'b0;
    else if(data_in_hs)begin
        if(data_in_valid_pos)
            h_count <= h_count + 1 ;
    end
    else h_count <= 'b0;
    
//行坐标计数器
always@(posedge clk or posedge reset_p)
    if(reset_p)
        v_count <= 'b0;
    else if(data_in_vs)begin
        if(data_in_hs_pos)
            v_count <= v_count + 1 ;
    end
    else v_count <= 'b0;
//有效点计数
always@(posedge clk or posedge reset_p)
    if(reset_p)
        valid_cnt <= 'b0;
    else if(data_in_valid_pos && data_in)
        valid_cnt <= valid_cnt + 1;
    else if
  • 1
    点赞
  • 25
    收藏
    觉得还不错? 一键收藏
  • 2
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值