SV接口的驱动和采样_2023.12.27】

cb

使用cloking block进行信号的同步
在cloking block,所有信号的采样和驱动,都是和时钟同步的

clocking cb @(posedge clk);
input grant;
output request;
endclocking

接口同步

用@和wait来同步测试平台中的信号
@bus.cb;

接口信号采样与驱动

采样沿之前的值,驱动沿之后的值
cb中input可读不可写,dut的信号影响cb的相应信号
output可写不可读,cb的信号影响dut的相应信号,读dut的信号
cb中output信号赋值驱动必须要用非阻塞赋值

在cb中,采样(input)和驱动(output):
同步后的采样信号,都是前一状态的值
同步后的驱动信号,都是当前状态的值

默认输入偏差为1step,输出偏差为#0
改变默认的方法:cb中加default input #2 output #2;

接口中的双向信号

logic不能被inout驱动

SV中没有明确定义如何驱动接口中的异步双向信号,故使用的方式:
连续赋值语句assign
虚接口

program中不支持always块

cb的使用

在这里插入图片描述
引用信号写为arbif_DUT.grant

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

iKUNqa

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值